半导体设备行业市场前景及投资研究报告:光刻机国产路漫其修远中国芯上下求索_第1页
半导体设备行业市场前景及投资研究报告:光刻机国产路漫其修远中国芯上下求索_第2页
半导体设备行业市场前景及投资研究报告:光刻机国产路漫其修远中国芯上下求索_第3页
半导体设备行业市场前景及投资研究报告:光刻机国产路漫其修远中国芯上下求索_第4页
半导体设备行业市场前景及投资研究报告:光刻机国产路漫其修远中国芯上下求索_第5页
已阅读5页,还剩106页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

证券研究报告半导体设备/行业深度报告领先大市-A(维持)华金证券电子团队——走进“芯”时代系列深度之八十四“光刻机”国产路漫其修远,中国芯上下求索——半导体设备系列报告之光刻机2024年07月18日核心观点u

光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级。分辨率由光源波长、数值孔径、光刻工艺因子决定.(1)光源波长(λ)——光源:其他条件不变下,光源波长越短,光刻机分辨率越高。在EUV光源方面:LLP光源较为稳定,且碎屑量较低,适用于大规模量产。高功率、转换效率为EUV光刻必要条件。液滴Sn靶易于操控,转换效率较高。加入预脉冲可以极大提高CE,双脉冲成为主流。Cymer与Gigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白。稳态微聚束(SSMB)为极紫外光的产生提供新方法,有望实现弯道超车;(2)数值孔径(NA)——物镜:其他条件不变下,数值孔径越大,光刻机分辨率越高。从“双腰”到“单腰”,引入非球面镜片改变物镜结构。折反式使用较少光学元件实现更大数值孔径并实现场曲矫正。浸没式光刻提供更大焦深并支持高NA成像。高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板;(3)工艺系数——计算光刻技术:OPC对掩膜图形进行预畸变处理,补偿光学邻近效应误差。SMO结合SO与OPC技术,提高设计自由度,扩大工艺窗口。多重图形技术(MPT)中,LELE主要原理为化繁为简,SADP,一次光刻后相继使用非光刻工艺实现图形密度加倍。ILT已知光刻结果,反推出光源、光掩膜等调整参数。国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白。(4)双工作台系统:精确对准+光刻机产能的关键。u

行业一超两强格局稳定,新建晶圆厂&产线扩产&下游需求蓬勃发展拉动光刻机需求。EUV光刻增速最快,KrF与l-line仍为主要需求类型,ASML为EUV光刻机独家供应商。库存调整结束+高性能计算+内存需求增加推动晶圆厂设备支撑复苏。全球新建晶圆厂&产线扩产带动光刻机需求,其中中国预计至2024年底建立50座大型晶圆厂。叠加人工智能等技术发展,带动产业智能化升级,2030年半导体规模有望破万亿美元。2核心观点u

师夷长技以制夷,星星之火可燎原。ASML与上下游龙头公司紧密合作,产学研深入发展带动技术革新,进而巩固光刻机绝对龙头地位;Nikon核心技术自主可控,以高质量产品、高附加服务为导向,构建良性生态循环;Canon通过技术整合赋能新价值,押注纳米压印光刻。目前,从光刻机核心技术领域分析,针对准激光光源,科益虹源主要研发248nm准分子激光器、干式193nm准分子激光器等;福晶科技研发KBBF晶体;中科院研发40瓦干式准激光光源;针对光学镜头,国望光学研发90nm节点ArF光刻机曝光光学系统、110nm节点KrF光刻机曝光光学系器统,中科科仪研发直线式劳埃透镜镀膜装置、纳米聚焦镜镀膜装置等。国科精密作为国家科技重大专项02专项支持的唯一高端光学技术研发单位,正在承担NA为0.82、NA为1.35等多种类型高端IC制造投影光刻机曝光光学系统的技术研发及产业化推进工作;华卓精科是上海微电子光刻机工件台供应商,作为世界上第二家掌握双工件台核心技术的公司,华卓精科成功推出第一台满足65nm光刻机需求的双工件台样机,打破ASML公司在工件台上技术垄断。u

投资建议:光刻机技术是半导体工艺中的关键,决定了芯片晶体管尺寸大小,直接影响芯片性能和功耗。自美国对中国半导体制裁起,光刻机对国内半导体行业发展及集成电路产业链自主可控重要性日益凸显。建议关注光刻机产业链

“卡

”环节中技术积累较深或直接/间接进入ASML/上海微电子等供应链环节厂商。如芯碁微装(直写光刻)、富创精密(零部件)、炬光科技(光学器件)、赛微电子(物镜)、波长光电(光源)、奥普光电(整机)、腾景科技(光学器件)、福晶科技(光源)、茂莱光学(光源)、电科数字(计算/控制模块)、新莱应材(零部件)、美埃科技/蓝英装备(洁净设备)、同飞股份/海立股份(温控)、东方嘉盛(服务)、上海微电子(整机,未上市)、华卓精科(工件台,未上市)。u

风险提示:技术研发风险;宏观经济和行业波动风险;国际贸易摩擦风险。3目录光刻:集成电路制造核心环节技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原相关标的风险提示4分目录光刻:集成电路制造核心环节•

1.5

集成电路制造流程•

1.1

光刻三剑客:光刻机+光刻胶+光掩膜•

1.2

光刻机:通过光源将光掩膜上图形投射于硅片•

1.3

光刻胶:在曝光区发生光固化反应•

1.4

光掩膜:图形转移工具或母版•

1.6

集成电路制造资本开支结构•

1.7

光刻工艺:各步骤环环相扣,光刻机代表产线先进程度•

1.8

发展历程:投影光刻机为当前IC制造主流选择技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级•

2.2.3

核心技术(EUV光源):LLP光源较为稳定,且碎屑•

2.1

分辨率由光源波长、数值孔径、光刻工艺因子决定•

2.2

光源波长(λ)——光源量较低,适用于大规模量产•

2.2.3

核心技术(EUV光源):高功率、转换效率为EUV光•

2.2.1

原理:其他条件不变下,光源波长越短,光刻机分辨率越高刻必要条件•

2.2.3

核心技术(EUV光源):液滴Sn靶易于操控,转换效•

2.2.2

发展:高压汞灯光刻光源→深紫外光光源→极紫外光光源率较高5分目录技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级•

2.2.3

核心技术(EUV光源):加入预脉冲可以极大提高CE,

2.3.2

路径(投影方式):折反式使用较少光学元件实现双脉冲成为主流更大数值孔径并实现场曲矫正•

2.2.4

现状:Cymer与Gigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白•

2.3.2

路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NA成像•

2.2.5

趋势:输出功率、脉冲能量整体呈现上升趋势,光谱线宽呈现收窄趋势•

2.3.3

制造:物镜加工精度确保光线高精度聚焦•

2.3.4

现状:高端光学元件超精密制造技术及装备成为制•

2.2.6

弯道超车:稳态微聚束(SSMB)为极紫外光的产生提

约高端装备制造业发展重大短板供新方法•

2.4

工艺系数——计算光刻技术•

2.3

数值孔径(NA)——物镜•

2.3.1

原理:其他条件不变下,数值孔径越大,光刻机分辨率越高•

2.4.1

光学邻近效应校正(OPC):对掩膜图形进行预畸变处理,补偿光学邻近效应误差•

2.4.2

光源-掩膜协同优化技术(SMO):结合SO与OPC技术,提高设计自由度,扩大工艺窗口•

2.3.2

路径(物镜结构):从“双腰”到“单腰”,引入非球面镜片6分目录技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级•

2.4.3

多重图形技术(MPT):LELE,主要原理为化繁•2.4.5

现状:国内市场被国际巨头垄断,东方晶源、宇为简微光学填补国内空白•

2.4.3

多重图形技术(MPT):SADP,一次光刻后相继使用非光刻工艺实现图形密度加倍•

2.4.4

逆光刻技术(ILT):已知光刻结果,反推出光源、光掩膜等调整参数•2.5

双工作台系统:精确对准+光刻机产能的关键•

2.5.1

组成:由掩膜台系统、硅片台系统和控制系统三大部分组成•

2.5.2

功能:负责对准调平,精密定位,接送硅片•

2.5.3

难点:快、准、稳市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求•

3.4

格局:一超两强格局稳定,ASML为EUV光刻机独•

3.1

光刻机产业链图谱家供应商•

3.2

光刻机供应链核心环节•

3.5

出货:EUV光刻增速最快,KrF与l-line仍为主要•

3.3

发展:技术&成本综合驱动光刻机发展需求类型7分目录市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求•

3.6

现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标CANON•

3.7

需求•

3.7.1后出现显著增长,人工智能有望开启新周期•

3.7.225年晶圆厂设备支出有望超1,200亿美元•

3.7.3

全球新建晶圆厂浪潮带动光刻机需求•

3.7.4

中国晶圆厂独占鳌头,预计至2024年底建立50座大型晶圆厂•

3.7.5

全球8寸、12寸晶圆产能有望持续提升,带动光刻机需求•

3.7.6

下游应用蓬勃发展,2030年半导体规模有望破万亿美元破局:师夷长技以制夷,星星之火可燎原•

4.1ASML:光刻机行业绝对霸主,实力远超同业•

4.1.3EUV:首批EXE平台光刻机将于23年交与客户,•

4.1.1

产品迭代:EUV+DUV全面覆盖市场,EUV负责超高端市场,DUV负责中高端有望于25年量产•

4.1.4

研发与并购:研发费用逐年增长+收购前沿技术公司巩固技术壁垒•

4.1.2DUV:NXT平台显著提升KrF及ArF光刻机生产效率8分目录破局:师夷长技以制夷,星星之火可燎原•

4.2.3

技术:核心技术自主可控,贡献长期增长动力•

4.3Canon:技术整合赋能新价值,押注纳米压印光刻•

4.3.1

产品:芯片小型化与多样化对设备提出进一步要求•

4.1.5

供应链:全球化供应链条,供应商共计5,000家•

4.1.6

生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新•

4.2Nikon:核心技术自主可控,产品服务导向构建良性生态循环•

4.3.2

优势:多领域核心技术构筑强大竞争实力•

4.3.3

技术:纳米压印较EUV技术功耗降低90%,性价比优势凸显•

4.2.1

战略:以高质量与高附加值服务为基础,构建良性生态循环•

4.4

国内进展:乘风破浪会有时,直挂云帆济沧海•

4.2.2

驱动增长:化繁为简,少即是多,复制数码相机成功道路相关标的•

5.1芯碁微装(直写光刻):产品矩阵不断突破,技术参•

5.2富创精密(零部件):全球位数不多可供应7nm精密数行业领先零部件9分目录相关标的•

5.3炬光科技(光学器件):相关光场匀化器产品为顶级光•

5.8福晶科技(光源):全球非线性光学晶体龙头厂商•

5.9茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链学公司供应•

5.4赛微电子(物镜):可生产光刻机透镜系统和MEMS器件•

5.5波长光电:已具备提供光刻机配套的大孔径光学镜头能•

5.10上海微电子(整机):保留光刻革命火种,静待28nm光刻机王者归来力•

5.6奥普光电(整机):光机装调技术水平在多应用技术领•

5.11华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商域处于国内领先水平•

5.7腾景科技(光学器件):合分束器项目处于样品试制阶段风险提示10分目录光刻:集成电路制造核心环节•

1.1光刻三剑客:光刻机+光刻胶+光掩膜•

1.2光刻机:通过光源将光掩膜上图形投射于硅片•

1.3光刻胶:在曝光区发生光固化反应•

1.4光掩膜:图形转移工具或母版•

1.5集成电路制造流程•

1.6光刻工艺:8个步骤,光刻机代表产线先进程度•

1.7分类:无掩膜光刻机与有掩膜光刻•

1.8光刻机发展历程技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原相关标的风险提示111.1

光刻三剑客:光刻机+光刻胶+光掩膜u

光刻工艺是指集成电路制造中利用光学-化学反应原理和化学、物理刻蚀方法,将电路图形传递到单晶表面或介质层上,形成有效图形窗口或功能图形的工艺技术。u

光刻工艺可以理解为使用光刻技术进行某一类加工的一种工艺;而光刻技术是则指在光照作用下,借助光致抗蚀剂(即:光刻胶)将掩膜版上的图形转移到基片上的技术。典型的光刻工艺流程包括衬底制备、涂胶、前烘、曝光、显影、坚膜、腐蚀、去胶等。在光刻中主要使用工具及材料为光掩膜、光刻机及光刻胶。路维光电掩膜版TWINSCANEXE:5000南大光电光刻胶资料:路维光电招股说明书、ASML官网、南大光电、芯片半导体实验室、半导体材料与工艺设备、华金证券研究所请仔细阅读在本报告尾部的重要121.2

光刻机:通过光源将光掩膜上图形投射于硅片u

光刻机:类似纳米级打印机,通过光源将光掩膜上图形母版投射在硅片上。u

工作原理:利用光刻机发出的光通过具有图形的光置对涂有光刻胶的硅片曝光,光刻胶见光后会发生性质变化,从而使光置上得图形复印到硅片上,从而使硅片具有电子线路图的作用。这就是光刻的作用,类似照相机照相。照相机拍摄的照片是印在底片上,而光刻机刻的不是照片,是电路图和其他电子元件。光刻机工作原理图内部封闭框架掩膜版掩膜台能量控制器物镜激光器光束矫正器(共三个)减振装置资料:电子发烧友、数字化企业、华金证券研究所请仔细阅读在本报告尾部的重要131.3

光刻胶:在曝光区发生光固化反应u

光刻胶:又称光致抗蚀剂,组分主要包括成膜树脂、感光组分、微量添加剂和溶剂。其中成膜树脂用于提供机械性能和抗刻蚀能力;感光组分在光照下发生化学变化,引起溶解速度的改变;微量添加剂包括染料、增黏剂等,用以改善光刻胶性能;溶剂用于溶解各组分,使之均匀混合。u

原理:将光刻胶涂布在衬底,通过前烘去除其中溶剂;其次,透过掩膜版进行曝光,使曝光部分感光组分发生化学反应,再进行曝光后烘烤;最后通过显影将光刻胶部分溶解(对于正性光刻胶,曝光趋于被溶解;对于负性光刻胶,未曝光区域被溶解),从而实现图形从掩膜版到衬底片之间转移。光刻胶工作原理图光刻胶成像制版过程资料:《集成电路产业全书(王阳元)》、响拇指、华金证券研究所请仔细阅读在本报告尾部的重要141.4

光掩膜:图形转移工具或母版u

掩膜版又称光罩、光掩膜等,是微电子制造过程中图形转移工具或母版,用于下游电子元器件行业批量复制生产。掩膜版在生产中起到承上启下的关键作用,是产业链中不可或缺的重要环节。光掩膜可分为接触式光掩膜(真空接触、软接触及硬接触)及投影光掩膜(匀胶铬版光掩膜、移相光掩膜、不透光钼光掩膜及极紫外光掩膜)。光掩膜分类优点接近/接触式光刻以及投影式光刻示意图分类真空接触缺点图形接触式转移可保证成

由于直接接触,光刻胶像过程的复制质量,避免

会污染掩膜版,造成磨引人放大率光学误差,在

损累积缺陷,影响掩膜特定应用范围内具有优势

版的使用寿命通过带有棱镜系统的微影相较于接触式光刻和接近式光刻技软接触接触式光掩膜术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。硬接触匀胶铬版光掩膜移相光掩膜光刻机投影曝光,将光掩膜图形移转到圆片上,避不透光钼光掩膜投影光掩膜N.A.免光刻胶与掩膜版直接接触导致污染,且具有高耐久性、高分辨率及易清洁处理等特性。极紫外光掩膜资料:《集成电路产业全书(王阳元)》、芯碁微装招股说明书、华金证券研究所请仔细阅读在本报告尾部的重要151.5

集成电路制造流程资料:中为咨询、华金证券研究所161.6

集成电路制造资本开支结构设计:2%-7%厂房建设20%-30%集成电路制造产线设备投资中,设备投资占比约为70%-80%,在设备投资中芯片制造设备占

为75%-80%,光刻机占制造设备投资20%,故光刻机占产线投资1

0

.

5

%

-12.8%土建设施:30%-40%机电系统:25%-35%洁净室分工:50%-70%洁净室系统:25%-35%长晶&切磨抛设备:2%薄膜沉积设备:20%光刻设备:20%硅片制造:1%-5%芯片制造:75%-80%硅片制造:15%-20%刻蚀/去胶设备:20%工艺控制设备:11%清洗/CMP设备:8%退火/扩散/注入设备:5%其他加工设备:8%封装设备:40%-45%设备投资70%-80%CP&测试设备:55%-60%资料:Gartner、屹唐股份招股说明书、华金证券研究所171.7

光刻工艺:各步骤环环相扣,光刻机代表产线先进程度u

底膜准备:主要是清洗和脱水。污染物会减弱光刻胶与硅片之间附着力,光刻工艺流程彻底的清洗可以提升硅片与光刻胶之间黏附性。u

旋转涂胶:通过旋转硅片方式实现。不同光刻胶要求不同涂胶工艺参数,包括旋转速度、胶厚度和温度等。u

软烘:通过烘烤提高光刻胶与硅片黏附性及光刻胶厚度均匀性,利于后续底膜准备旋转涂胶软烘刻蚀工艺几何尺寸精密控制。u

对准与曝光:将掩膜版图形与硅片已有对准,用特定光照射,激活光刻胶中光敏成分,从而将掩膜版图形转移到光刻胶。u

曝光后烘:通过加热让光刻胶中光化学反应充分完成,弥补曝光强度不足问题,并减少光刻胶显影后因驻波效应产生纹路。对准与曝光u

显影与冲洗:将曝光光刻胶溶解清除,市光掩膜图形浮现在光刻胶。显影曝光后烘u

坚膜:将显影后的光刻胶中剩余溶剂、显影液、水及其他不必要残留成分通过加热蒸发去除,提高光刻胶与硅衬底黏附性及光刻胶抗刻蚀能力。u

显影检测:即检查显影后光刻胶图形缺陷。利用图像识别技术,自动扫描显影后芯片图形,与预存无缺陷标准图形进行比对,若发现有不同之处,就视为存在缺陷。坚膜烘烤显影检测资料:《集成电路产业全书(王阳元)》、芯源微招股说明书、华金证券研究所请仔细阅读在本报告尾部的重要181.7

光刻工艺:各步骤环环相扣,光刻机代表产线先进程度1、清洗2、表面处理u

光刻工艺决定着芯片的最小特征尺寸,包括清洗、表面处理、旋涂、前烘、对准和曝光、后烘、显影等数个流程。作用:清除污染物,提升光刻胶附着力作用:去除多余水分、形成HMDS涂层增强光刻胶黏性u

根据DRAMeXchange数据,光刻工艺约占芯片制造成本的30%,约占芯片制11造总耗时的40%-50%。光刻工艺流程图烘烤去除水分化学清洗HMDS(六甲基二硅氮烷)涂层清洗表面处理后烘旋涂显影前烘22对准&曝光冲洗形成HMDS涂层曝光后烘烤不合格去除光刻胶HMDS涂层检测通过33干燥冷却刻蚀掺杂资料:Mittuniversitetet,DRAMeXchange,华金证券研究所请仔细阅读在本报告尾部的重要191.7

光刻工艺:各步骤环环相扣,光刻机代表产线先进程度3、旋涂4、边缘料去除(EBR)5、前烘6、对准曝光作用:将光刻胶均匀涂布整个晶圆作用:去除边缘料方式:化学去除/光照去除作用:去除溶剂;减少薄膜应力提高光刻胶粘附性作用:将掩膜版上的图案转移至光刻胶上四种方式:接触式、接近式、投影式、步进式方式一:接触式对准曝光方式一:传送至已加热的盘方式二:对流加热炉(多片)旋涂装置示意图方式一:化学去除光刻胶化学试剂边缘料去除晶圆防水套管排料口吸盘排真空泵

气口方式二:接近式对准曝光光刻胶分配器喷嘴晶圆方式二:光照去除吸盘光源主轴真空泵方式三:微波加热方式三:投影式曝光光刻胶分配器喷嘴光刻胶回吸晶圆吸盘主轴真空泵资料:Mittuniversitetet,华金证券研究所请仔细阅读在本报告尾部的重要201.7

光刻工艺:各步骤环环相扣,光刻机代表产线先进程度6、对准曝光7、曝光后烘烤8、显影作用:显现光刻胶层图形显影液:9、后烘/坚膜方式四:步进式曝光作用:平滑侧壁,减少驻波效应的影响;对DUV光刻胶,还可提高感光材料所需能量作用:去除所有溶剂,提高光刻胶附着力,坚膜以提高光刻胶在离子注入或刻蚀中保护下表面的能力,减少缺陷如填充针孔冲洗剂:TMHZ用去离子水二甲苯用乙酸正丁酯

负胶用二甲苯正胶用TMAH((CH3)4NOH)显加热使光刻胶成熔融态,进而流动减少缺陷影装置示意图显影液1涂布显影液过度加热则会影响分辨率2烘烤方式与前烘相同旋转均匀厚度3显影完成冲洗资料:Mittuniversitetet,华金证券研究所请仔细阅读在本报告尾部的重要211.8

发展历程:投影光刻机为当前IC制造主流选择微压印X射线曝光机折射率高于水的液体浸没光刻NA>1.35定向组装DSA有掩膜光刻1倍深紫外扫描机1倍宽带扫描机193nm浸没式多曝光接触式光刻接近式光刻光学投影光刻4倍步进扫描光刻机10倍5倍步进重复光刻机浸没式光刻机偏振成像计算光刻一体化光刻真空极紫外光刻一体化光刻EUV,NA>0.5光学无掩膜光刻无掩膜光刻电子束直写多电子束光刻离子束直写资料:《集成电路产业全书(王阳元)》、华金证券研究所请仔细阅读在本报告尾部的重要221.8

发展历程:投影光刻机为当前IC制造主流选择其特点是灵活性高,可柔性制利用掩膜来遮挡和透射光线,通过聚焦和投射光源在光敏材料上形成图案。掩膜光刻机具有成熟技术和广泛应用领域,是芯片制造行业基础设备。作集成电路。但生产效率很低,一般用于集成电路器件原型的研制验证制作、光刻掩膜版的制作等。光刻机无掩膜光刻机有掩膜光刻机辐射源不同掩膜版与机台距离远场傅里叶光学成像近场菲涅尔衍射成像电子束直写光刻机离子束直写光刻机激光直写光刻机接触式光刻机接近式光刻机投影光刻机利用计算机输入的地址和图形数据,控制聚焦电子束在涂敷有电子束光刻胶的衬底上直写曝光。该技术最细线条光刻图案可达到2nm。离子的质量要远大于电子,它能有效地避免电子束散射的问题离子束光刻能获得比电子束光刻更高的分辨率,其最小的分辨率能达到5nm。利用聚焦激光束直接在涂覆有光刻胶的衬底上描绘图形的光刻技术,通常采用旋转反射镜阵列来实现大量激光束同时扫描的功能,

分辨率为500nm到100nm。调整掩膜版和衬底相对位置和角度实现对准曝光的曝光方式。其理论分辨率可达到0.5um,但由于掩膜版和衬底接触,沾污严重,因此工业生产中一般只在3um以上的情况下采用此方式。目前在研发领域仍然有大规模的应用,在工业领域则集中在LED、MEMS和先进封装等不需要高分辨率的领域。通过光学投影成像系统,将中间掩膜版图形按照所需要的倍率缩小,通过缩小透镜在涂有光刻胶的衬底表面曝光成像。该类曝光技术是目前IC生产领域的主流。资料:《集成电路产业全书(王阳元)》、电子发烧友、云谷半导体材料、科汇华晟、华金证券研究所231.8

发展历程:投影光刻机为当前IC制造主流选择不适用掩膜版,而是使用光罩因为光罩包含与一个或多个芯片相对应的单个曝光场中的图案,而掩膜版包含所有芯片阵列投影光刻机扫描投影式光刻步进重复式光刻机步进扫描式光刻机掩膜与晶圆比例可大于1:1精度与均匀性的完美结合实现1:1曝光扫描投影式光刻采用一倍掩膜版,实现了掩膜图形与晶圆尺寸1:1的曝光比例。光源固定,而掩膜和晶圆同时向相反方向移动,完成了一次高效的光刻过程。随着晶圆尺寸的不断增大,1:1的曝光比例对透镜尺寸、折射率和均匀性提出更高要求。步进重复式光刻机解决了这一难题,曝光区域从整个晶圆变为一块矩形区域,实现了掩膜与晶圆比例可大于1:1。通过反向扫描完成一个Die的曝光过程,而步进运动则通过步进器将硅片台的曝光位置从一个Die移动到下一个Die。这一方案进一步缩小曝光区域,降低了光学复杂度,提高了精度和均匀性。资料:半导体与物理、华金证券研究所24分目录光刻:集成电路制造核心环节技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级••2.1分辨率由光源波长、数值孔径、光刻工艺因子决定2.2光源波长(λ)——光源•2.3.3制造:光刻机所用波长越短,对物镜加工精度要求就越高•2.3.4现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大••••2.2.1原理:其他条件不变下,光源波长越短,光刻机分辨率越高2.2.2发展:高压汞灯光刻光源→深紫外光光源→极紫外光光源短板•2.4工艺系数——计算光刻技术2.2.3核心技术(EUV光源):LLP光源较为稳定,且碎屑量较低,适用于大规模量产2.2.3核心技术(EUV光源):高功率、转换效率为EUV光刻必要条件2.4.1光学邻近效应校正(OPC):对掩膜图形进行预畸变处理,补偿光学邻近效应误•差•••2.2.3核心技术(EUV光源):液滴Sn靶易于操控,转换效率较高2.4.2光源-掩膜协同优化技术(SMO):结合SO与OPC技术,提高设计自由度,扩大工2.2.3核心技术(EUV光源):加入预脉冲可以极大提高CE,双脉冲成为主流艺窗口•2.2.4现状:Cymer与Gigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空•2.4.3多重图形技术(MPT):LELE,主要原理为化繁为简白••2.4.3多重图形技术(MPT):SADP,一次光刻后相继使用非光刻工艺实现图形密度加2.2.5趋势:输出功率、脉冲能量整体呈现上升趋势,光谱线宽呈现收窄趋势2.2.6弯道超车:稳态微聚束(SSMB)为极紫外光的产生提供新方法倍••2.4.4逆光刻技术(ILT):已知光刻结果,反推出光源、光掩膜等调整参数2.4.5现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白2.5双工作台系统:精确对准+光刻机产能的关键•2.3数值孔径(NA)——物镜•••••2.3.1原理:其他条件不变下,数值孔径越大,光刻机分辨率越高•2.3.2路径(物镜结构):从“双腰”到“单腰”,引入非球面镜片2.3.2路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正2.3.2路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NA成像•••2.5.1组成:由掩膜台系统、硅片台系统和控制系统三大部分组成2.5.2功能:负责对准调平,精密定位,接送硅片2.5.3难点:快、准、稳252.1

分辨率由光源波长、数值孔径、光刻工艺因子决定u

分辨率:指光刻机能够将掩膜版电路图形在衬底面光刻胶上转印的最小极限特征尺寸。通常,分辨率用该极限电路图形半节距表示。u

瑞利准则:理想的成像系统,一个点所成像是一个完美点,但实际光学系统中透镜具有一定的孔径大小,由此导致所成像不是一个点,而为一个艾里斑(中心是一块明亮区域,周围是一系列亮度不断降低的同心圆环)。对于两个距离较近的点,所成光斑距离同样较近。能够区分两个光斑的最小距离,即分辨率。当一个艾里斑中心与另一个艾里斑第一极小值重合时,达到极限点,该极限被称为瑞利准则。分辨率由光源波长、数值孔径、光刻工艺因子决定分辨率极限示意图与光刻机工艺相关系数光源波长λRꢀꢀꢀꢀꢀꢀꢀꢀk1NA物镜数值孔径n

×

ꢁꢂꢃꢄ投影物镜像方半孔径角投影物镜系统像方介质折射率资料:半导体行业观察、SemiConnect、华金证券研究所请仔细阅读在本报告尾部的重要262.1

分辨率由光源波长、数值孔径、光刻工艺因子决定u

孔径角与透镜有效直径成正比,与焦距成反比。孔径角又称“镜口角”,是透镜光轴上的物体点与物镜前透镜有效直径所形成角度。孔径角越大,进入透镜光通量就越大,它与透镜有效直径成正比,与焦点距离成反比。u

增大数值孔径、缩短波长、减小光刻工艺因子可提高分辨率。根据瑞利准则光刻机分辨率由光源波长、数值孔径、光刻工艺因子决定。因此可以从以下三方面提高分辨率:1)增大投影光刻物镜的数值孔径;2)缩短曝光波长;3)减小光刻工艺因子。孔径角示意图与分辨率相关的参数变化趋势资料:ZEISS、《高数值孔径投影光刻物镜的光学设计(徐明飞)》、华金证券研究所请仔细阅读在本报告尾部的重要272.2

光源波长(λ)——光源2.2.1

原理:其他条件不变下,光源波长越短,光刻机分辨率越高u

光源是光刻机核心系统之一,光刻机工艺能力首先取决于其光源波长。最早两代光刻机采用汞灯产生波长436nmg-line和365nm

i-line

作为光刻光源,可以满足800-250nm制程芯片生产。第三代光刻机采用248nm的KrF准分子激光作为光源,将最小工艺节点提升至180-130nm。第四代光刻机的光源采用193nm准分子激光,将制程提升至130-65nm的水平(通过浸没式技术、双图形技术、多图形等先进技术,193nm

ArF准分子激光可应用于光刻10nm节点量产)。第五代光刻机技术,采用波长为13.5nm的极紫外光作为曝光光源。λRꢀꢀꢀꢀꢀꢀꢀk1NA光谱图光刻机光源波长发展历程资料:华经产业研究院、光机电产业研究、兆恒机械官网、华金证券研究所282.2

光源波长(λ)——光源2.2.2

发展:高压汞灯光刻光源→深紫外光光源→极紫外光光源u

高压汞灯:放电管内充氩气(用于启动)与汞(用于放电),汞蒸气被高压汞灯光刻光源系统结构图能量激发,汞原子最外层电子受激发跃迁,落回后释放光子。u

深紫外光光源:一般采用准分子激光器作为光源,工作气体受到放电激励,在激发态形成短暂存在“准分子”,准分子受激辐射跃迁,形成紫外激光输出。u

极紫外光激光:EUV光源由光的产生、光的收集、光谱的纯化与均匀化三大单元组成。相关的工作元器件主要包括大功率CO2激光器、多层涂层镜、负载、光收集器、掩膜版、投影光学系(Xe或Sn)形成等离子体,等离子利用多层膜反射镜多次反射净化能谱,获得13.5nm的EUV光。EUV光源系统的组成紫外激光器照明系统结构图资料:《紫外LED光刻光源系统的研究与设计(王国贵)》、半导体行业观察、兆恒机械官网、华金证券研究所292.2

光源波长(λ)——光源2.2.3

核心技术(EUV光源):LLP光源较为稳定,且碎屑量较低,适用于大规模量产u

鉴于LPP诸多优点,现用于大规模生产方案多以LPP为主。同步辐射源优点是可以产生高功率EUV光,且对光学原件无碎屑污染,故可长时间稳定输出EUV光。但过于复杂和庞大装置构造以及高昂造价等都表明同步辐射源并不适用于大规模生产。LPP、DPP和LDP都是通过高能量束使靶材产生较高的温升,从而产生高温、高密度的等离子体并发射EUV光。虽然形成方法有所差异,但却可以使用相同靶材。LPP以高功率激光辐射靶材,相较于DPP与LDP方案,因没有损伤电极困扰而较大地消减装置热负荷,产生光源较为稳定,且LPP所产生碎屑量低于DPP。同步辐射源、LPP、DPP、LDP原理和比较是否可实现工业化EUV光刻机生产方案方案原理优点缺点装置构造过于复杂和庞大,造价过于昂贵,不适合大批量生产。高速带电粒子在磁场左右下发射电磁波,可利可产生高功率EUV光,且对光学原件无碎用这一特性产生EUV光。

屑污染,并长时间稳定地输出EUV光。同步辐射源×以高强度的脉冲激光为驱动能源照射靶材,使因没有损伤电极的困扰而较大地消减了EUV光产生过程中伴随着大量光学碎屑,但碎屑量低于DPP。激光等离子体(LPP)放电等离子体(DPP)√√靶材产生高温等离子体并辐射EUV光。装置热负荷,产生光源较为稳定。将靶材涂覆在阳极和阴极之间,两个电极在高

EUV光产生过程中伴随着大量光压下产生强烈放电使靶材产生等离子体。由于Z可通过增大放电电流功率提高EUV光输出学碎屑,严重损坏光学收集系箍缩效应,当洛伦兹力收缩等离子体时,等离功率。子体被加热,产生EUV光。统;很难维持长时间的稳定工作状态。将LPP与DPP结合起来,先用脉冲激光照射靶材,激光辅助放电等离子体(LDP)

使靶材细化,再运用DPP技术放电使靶材产生EUV光。可通过增大放电电流功率提高EUV光输出很难维持长时间的稳定工作状功率。

态。√资料:《激光等离子体13.5nm极紫外光刻光源进展(宗楠)》、CSDN、华金证券研究所302.2

光源波长(λ)——光源2.2.3

核心技术(EUV光源):高功率、转换效率为EUV光刻必要条件u

为满足极紫外光刻需求,光源应具有以下性能:(1)光源功率达250W,且功率波动小;(2)较窄激光线宽,具有频率噪声和较小相对强度噪声,减少光学损耗;(3)较高系统效率,光源转化率最终要达到250W以上功率,因此激光器平均功率需达到20kW。u

极紫外光光源原理:(1)锡液发生器使锡液滴落入真空室③(每一锡滴大小为30微米);(2)脉冲式高功率激光器①击中从旁飞过的锡液滴②—每秒50,000次。Laser分为两部分,前脉冲和功率放大器。前脉冲和主脉冲击中锡液使其气化;(3)锡原子被电离,产生高强度的等离子体;(4)收集镜捕获等离子体向所有方向发出的EUV辐射,汇聚形成光源;(5)将集中起来的光源传递至光刻系统④以曝光晶片⑤。EUV光源系统结构图EUV产生工作原理图资料:ASML、CSND、兆恒机械官网、华金证券研究所312.2

光源波长(λ)——光源2.2.3

核心技术(EUV光源):液滴Sn靶易于操控,转换效率较高u

锡(Sn)为当前EUV光刻光源所用靶材,CE值为5%-6%。Mo/Si多层膜反射镜特性致使选择中心波长为13.5nm、2%带宽内EUV光作为光刻光源,在此波段发出EUV主要靶材为氙(Xe)、锂(Li)、锡(Sn),其中Sn在13.5nm波长处的反射率占比最大。其EUV

主要由Sn等8+12+离子体中高价态Sn

→Sn

跃迁形成,13.5nmEUV光CE值为5%-6%。u

液滴Sn靶好操控且碎屑较少,故其CE较高。平板形靶由于存在较大温度梯度,EUV发射主导区域所发出EUV光存在较为强烈吸收;限腔形Sn靶相较于平板Sn靶具有更高EUV-CE;球形靶最大值CE为3%;且CE会随着Sn靶直径与焦斑大小比值减小而逐渐增加;液滴Sn靶好操控且碎屑较少,故其CE较高,用于大规模生产EUV光刻机光源均是采用液滴Sn靶。不同靶材13.5nmEUV光CE值基于所选靶材所得结果不同几何形状EUV光CE值(Sn靶)几何形状不同几何形状表现靶材13.5nmEUV光CE值用激光照射平板Sn靶,会造成被激光光束聚焦中心照射部分靶材温度远高于周围其他部分。由于存在较大温度梯度,中心部分等离子体膨胀速度快,平板形靶

周围部分等离子体膨胀速度慢。速度较慢等离子体会对速度较快等离子体所在区域(EUV发射主导区域)所发出EUV光存在较为强烈吸收,进而影响EUV-CE。是当稳态Li等离子体处在高温环境下时,会有极少量Li2+离子处于电离平衡态,即等离子体仅由剩余原子核和自由电子组成,且无任何谱线发出。锂(Li)1%-2%左右通过使用双脉冲照射Sn限腔形靶并在入射激光相反方向收集EUV光。证明限腔形Sn靶相较于平板Sn靶具有更高EUV-CE。限腔形靶将直径为几微米的球形塑料靶材表面涂满厚度为微米量级Sn,最终得到最大值CE为3%;且CE会随着Sn靶直径与焦斑大小比值减小而逐渐增加。EUV要由Xe元素的一种离子

Li10+

在4ꢀ7球形靶氙(Xe)

4ꢀ

5p

跃迁产生,CE较低,Xe

仅有1%左右8谱纯度较差。脉冲激光打在平板Sn靶上同一点脉冲数量逐渐增多时,等离子体EUV-CE从2.7%增加到5%,辐射EUV等离子体区域也较之前拉长近一倍。凹槽形靶EUV主要由Sn等离子体中高价态离子12+楔形靶

楔形Sn靶EUV-CE约为3.6%。锡(Sn)5%~6%8+Sn

→Sn

跃迁形成。液滴形靶

液滴Sn靶好操控且碎屑较少,故其CE较高。资料:《激光等离子体13.5nm极紫外光刻光源进展(宗楠)》、华金证券研究所322.2

光源波长(λ)——光源2.2.3

核心技术(EUV光源):ꢀꢁꢂ激光系统保证输出高功率密度且转换效率较高u

主振+功率放大器保证输出高功率密度,高光束质量激光束。CO2激光驱动器需产Nd:YAG激光(a)与CO2激光(b)等离子体激光能量吸收区域和极紫外辐射区域生持续时间<20ns脉冲,以实现LPP过程最佳效率。且100kHz重复频率需要每个脉冲输送大于200mJ能量。满足这些要求唯一方案是使用主振+功率放大器,以保证输出高功率密度,高光束质量激光束。u

ꢀꢁꢂ激光器脉冲激光能量沉积区与EUV辐射区更近,带来更高CE值。相较于Nd:YAG激光脉冲,使用CO2激光器脉冲能获得较高CE(两者比值为2.2),且辐射出EUV光功率更高。因为其脉冲激光能量沉积区与EUV辐射区近,便于激光能量快速转移到等离子体中辐射EUV光,且用CO2激光作为驱动光源产生碎屑数量少,光谱纯度高。大功率短脉冲CO2激光器系统示意图资料:《激光等离子体13.5nm极紫外光刻光源进展(宗楠)》、华金证券研究所332.2

光源波长(λ)——光源2.2.3

核心技术(EUV光源):加入预脉冲可以极大提高CE,双脉冲成为主流u

加入预脉冲可以极大地提高CE,Nd∶YAG激光更适用于预脉冲。先用预脉冲照射锡靶,产生低密度、碎片化的锡靶。设计好延时时间后,再用高功率的主脉冲击打锡靶,最终产生高密度、高温的等离子体并辐射EUV光,利用该方法最高可得到约6%的转换效率。在预脉冲激光的选择上,相比CO2激光,用波长更短的Nd∶YAG激光可以产生更高的临界等离子体密度,辐射更高能量的EUV光,且Nd∶YAG激光具有更强的穿透能力,能使预脉冲击打靶材的气化率更高。u

Gigaphoton公司共设计3款13.5nm-EUV光源产品,皆为双脉冲。Proto#1设计重点为碎片减缓技术;Proto#2偏向于优化CE设备;Pilot#1

设计则偏向于在半导体工厂中支持大规模生产商业应用。Pilot#1在输出功率(250W)

、转换效率(5%)及工作时长(大于3个月)等众多方面都较其他两款产品有绝对优势。EUV光源双脉冲方案Gigaphoton公司EUV光源产品参数Proto#1ProofofConceptProto#2KeyTechnologyPilot#1HVMReady参数细分指标EUVPowerCE25W3%>100W4%250W5%目标性能脉冲速率100kHz水平100kHz>62°-1week<20μm20kW100kHz>62°>75%输出角度AvailabilityDropletGenerator-1week20-25μm5kW<20μm27kWꢀꢁ2Laser技术参数Pre-pulseLaser反射膜收集镜寿命皮秒皮秒皮秒实验阶段10days>3months34资料:《激光等离子体13.5nm极紫外光刻光源进展(宗楠)》、《极紫外光刻光源的研究进展及发展趋势(林楠)》、华金证券研究所2.2

光源波长(λ)——光源2.2.4

现状:Cymer与Gigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白u

Cymer与Gigphoton几乎垄断全球激光光刻机光源产业。目前,荷兰光刻机巨头ASML子公司Cymer(世界领先的激光源供应商)与日本Gigphoton公司几乎垄断全球激光光刻机光源产业,并皆可以独立制造出基于LPP的EUV光刻机光源。u

国内光学光源相关领域比较领先的有中国科益虹源和福晶科技。科益虹源自主研发的首台193nm高能准分子激光器,以高质量和低成本的优势,填补了中国在准分子激光技术领域的空白,打破了国外厂家对该技术产品长期市场垄断局面,公司目前已完成6kHz、60W光刻机光源的制造,该光源即为现阶段主流ArF光刻机光源。福晶科技公司生产的KBBF晶体属于激光设备的上游关键零部件,凭借KBBF晶体技术,福晶科技公司在该产品领域处于主导地位。Cymer准分子激光器的工作原理CymerArFIMMERSION(193NM)光源产品:XLR900IX35资料:《激光等离子体13.5nm极紫外光刻光源进展(宗楠)》、Cymer、科益虹源、五度易链行业研究中心、《Cymer准分子激光器的工作原理及应用(宋健)》、华金证券研究所2.2

光源波长(λ)——光源2.2.5

趋势:频率/脉冲能量整体呈现上升趋势,光谱线宽/光谱幅度呈现收窄趋势Gigphoton公司光源产品及参数光谱幅度(以95%能量累计,E95)300+/-1.5fmwaferaverage300+/-1.5fmwaferaverage类别型号GT80AGT66A振荡波长193nm193nm输出脉冲能量N.A.频率光谱线宽(FWHM)累计能量安定性N.A.60–90W60-90W6,750Hz6,000HzN.A.N.A.N.A.GT65AGT64AGT63AGT62AGT61AGT60AGT45AGT40AG42A193nm193nm193nm193nm193nm193nm193nm193nm193nm193nm248nm248nm248nm248nm248nm248nm248nm≥60W60–120W60/90W60/90W60W10.0–20.0mJ10.0–20.0mJ10.0/15.0mJ10.0/15.0mJ10.0mJ6,000Hz6,000HzN.A.N.A.0.20–0.45pm0.25pm0.3pmN.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.0.35%0.40%0.50%ArFIMMERSION6,000HzN.A.6,000HzN.A.0.35pm0.35pm0.5pm6,000HzN.A.60W10.0mJ6,000Hz0.2pmN.A.45–90W45W11.25–15mJ11.25mJ4,000–6,000Hz4,000Hz≤0.5pm0.5pm0.2pm0.3pm0.35pmN.A.ArFDry20W5.0mJ4,000Hz0.75pm0.85pmN.A.G41A20W5.0mJ4,000HzG300KG60K75W/300W40W–60W40W–50W40W75mJ1000Hz/4000Hz4000Hz10mJ–15mJ10.0–12.5mJ10.0mJN.A.N.A.G45K4,000Hz0.35pm0.35pm0.5pm0.6pm0.6pm1.1pmKrFG41K-1HG21K4,000Hz1.1pm20W10.0mJ2,000Hz1.4pmG20K20W10.0mJ2,000Hz2.0pmG10K10W10.0mJ1,000Hz2.0pm资料:Gigphoton官网、华金证券研究所362.2

光源波长(λ)2.2.6

弯道超车:稳态微聚束(SSMB)为极紫外光的产生提供新方法u

原理:速度接近光速的带电粒子在磁场中做圆周运动时,沿运动轨迹切线方向会发出电磁辐射(同步辐射SR),具有高亮度、宽频谱、高准直、高偏振等特性。使用雷射对电子进行操控,在储存环中可形成超短的电子束团(又称微聚束),这种技术可保证电子束长度比传统存储环小六个数量级,经由结合微聚束辐射的强相干特性以及储存环内电子束的高回旋频率特性,稳态微聚束(SSMB)光源可提供高平均功率、窄频宽的相干辐射,产生的电磁波可覆盖太赫兹(THZ)、极紫外光(EUV)、软X光(SoftX-ray)。u

同步辐射光源装置包括电子子生及加速的雷子、储存电子束的电子储存环、以及将生产的同步辐射用在物理、化学、材料、生物等各类科学研究的辐射线束站。同步与电子存储环电磁波谱内圈加速辐射线束站电子存储环资料:香港中文大学物理系官网、Ansforce、曲博科技教室、ReflectiveX-rayOptics、华金证券研究所372.2

光源波长(λ)2.2.6

弯道超车:稳态微聚束(SSMB)为极紫外光的产生提供新方法u

在稳态微聚束的电子储存环中,雷射光波长在微米(μm)等级,远小于辐射射频微波波长度在米(m)等级,故必须使用聚焦装置(Lattice)使电子束团长度缩小到纳米(nm)等级,所以称微聚束(Microbunch)。同时电子束团之间的距离也由微波波长米(m)等级缩小到雷射光波长微米(μm)等级,即单位长度内的电子束团数目提升6个数量级,属于相干叠加,因此辐射功率大幅增加。u

自由电子雷射(FEL)所产生的微聚束,是自由电子束团与自身产生的辐射在波荡器(Udulator)中相互作用持续的正回馈作用,是一种集体不稳定的过程,微聚束无法长期维持,而稳太微聚束(SSMB)使用雷射调变系统与聚焦装置(Lattice),形成相干叠加,让微聚束在储存环中能逐圈重利用而达到稳态(Steady-State)。电子存储环使用射频共振腔电子存储环使用雷射调变系统资料:Ansforce、曲博科技教室、华金证券研究所382.2

光源波长(λ)2.2.6

弯道超车:稳态微聚束(SSMB)为极紫外光的产生提供新方法稳态微聚束极紫外光系统u

1.直线注入器(Linac):产生能量约400百万电子伏特(MeV),脉冲长度100纳秒(ns)等级,总电荷量100纳库仑等级,平均电流强度1安培(A)等电荷量微脉冲电子束团。u

2.展束环(Strecher

ring):多个脉冲电子束团注入到展束环中,使电子束团长度在展束环中被拉长,注入到稳态微聚束(SSMB)的电子储存环(Storagering)中。u

3.稳态微聚束(SSMB)主环:注入的电子束团使用调变雷射系统与聚焦装置(Latice)形成相干叠加,让微聚束在储存环中能逐圈重复利用,从而达到稳态(Steady-State)

。主要极紫外光光源特性比较u

4.电子束团压缩及辐射单元:稳态微聚束(SSMB)主环中的电子束团进光源原理主要特点已商业化技术成熟,功率目前大约500瓦,不容易再增强。一步压缩,实现长度大3nm的微聚束,从而产生13.5nm的强相干极

雷射生成电浆(LPP)紫外光(EUV)。放电生成电浆(DPP)尚在开发,技术不成熟,功率目前大约数十瓦,仍有改善空间。u

5.调变雷射系统:采用窄频宽的种子雷射和高精细度光学增益共振腔,实现大约1百万瓦(MW)的平均存储功率,满足稳态微聚束极紫外光(SSMB-EUV)光源的需求。技术成熟,成本较低,功率太低只适合科学研究,无法达到半导体大规模量产需求。同步辐射功率可达1-10千瓦,造价数10亿元,周长数百米,必须做能量回收(ERL)提供销量,许多技术还需突破。超导射频自由电子雷射(SRF-FEL)u

6.能量补充系统:采用百万赫兹(MHZ)的重复频率直线感应,功率可达1千瓦,造价大约10亿元,周长100-150米,原理实验已经验证,需要建立针对极紫外光的设备。稳态微聚束(SSMB)补偿平均电流强度大约1安培(A)的功率数千瓦到十千瓦的辐射损失。资料:Ansforce、曲博科技教室、华金证券研究所392.3

数值孔径(NA)——物镜2.3.1

原理:其他条件不变下,数值孔径越大,光刻机分辨率越高λ投影光刻物镜系统的组成Rꢀꢀꢀꢀꢀꢀꢀk1NAu

光刻物镜包括能够满足光刻机成像质量要求和整机接口需求的投影物镜以及相关控制系统。整个物镜长度一般超过1m,重量超过500Kg,为能刻蚀尽可能精细线条,物镜分辨率必须达到衍射极限,这意味着在物镜实际工作过程中,全视场波前像差均方根至少要小于0.07λ;此外,像面弯曲要求小于几十纳米,畸变不能超过几纳米。如此高像质光学系统需要其内部环境控制必须十分严格,如物镜内部温度变化要小于0.01摄氏度,气压变化要小于100帕。光刻物镜工作波长大多数属于紫外波段,如KrF(248nm),ArF(193nm)和EUV(13.5nm)。u

按照构成物镜零部件属性,可以将物镜划分为光学、机械和控制三个分系统。光学分系统包括参与投影成像全部光学零件;机械分系统包括用于实现光学零件支撑、调节,物镜环境控制与保护以及与整机机械结构连接全部机械零件和功能性组件;控制分系统包括用于物镜控制机箱及相关控制软件系统、物镜调节机构及光阑驱动等。资料:《高数值孔径投影光刻物镜的光学设计(徐明飞)》、华金证券研究所402.3

数值孔径(NA)——物镜2.3.2

路径(物镜结构):从“双腰”到“单腰”,引入非球面镜片Nikon公司光刻物镜的结构变化(1981-2004)像方(硅片)远心,物方(掩膜)非远心物镜NA进一步增大,大口径光学材料制造成为技术瓶颈“正负正负正”光焦度分布形成典型“腰肚”结构,成为以后光刻物镜发展基础结构光学技术进入低系统工艺系数时代,非球面使用才使得系统总长缩短而口径基本保持不变由于视场增大,系统结构尺寸也逐步增大此时物镜开始使用大矢高非球面,结构从“双腰”简化为“单腰”结构。波长进入DUV时代,光源采用准分子激光器资料:《高数值孔径投影光刻物镜的光学设计(徐明飞)》、华金证券研究所412.3

数值孔径(NA)——物镜2.3.2

路径(物镜结构):从“双腰”到“单腰”,引入非球面镜片u

随着NA增加,系统总长、光学元件最大孔径、采用非球面数量等均逐渐增加,且物镜结构形式由“双腰”结构到“1.5腰”结构,最终进化为“单腰”结构。专利US0212899A1US7289279B2US0231813A1US0004757A1NA波长0.760.800.850.93193nm193nm193nm1150mm14.0mm193nm总长1000mm13.6mm1000mm13.6mm1342mm14.0mm最大视场非球面数最大孔径13812236.0mm262.0mm279.4mm397.6mm示意图资料:《高数值孔径光刻投影物镜成像理论及像质补偿和检测技术研究(于新峰)》、华金证券研究所422.3

数值孔径(NA)——物镜2.3.2

路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正典型折射式投影物镜示意图u

投影物镜的结构型分为折射式和折反式:1)折射式:光学元件旋转对称并沿着同一个光轴对准,视场位于光轴中央,结构简单易于装调,主要校正色差和Petzval两种成像误差。非球面的应用增加了设计自由度,放松了每个元件的公差;2)折反式:对于NA>1.2的投影物镜,大多采用折反式光学系统。反射镜有着正光焦度和负值场曲,可以不依赖于传统的“腰肚”结构,使用较少数量和较小口径的光学元件满足对场曲的校正,从而在一定物镜尺寸限制内实现更大的NA。像差示意图NA1.35的单轴折反式物镜1、有限设计残差2、加工装配误差3、光刻机使用环境造成43资料:《基于成像光强的光刻机像差原位检测理论与方法研究(许爽等)》、《193nm投影光刻物镜光机系统关键技术研究进展(张德福等)》、华金证券研究所2.3

数值孔径(NA)——物镜2.3.2

路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NA成像u

对于相同波长及NA,干法和浸没式光刻分辨率则相同,而浸没式光刻DOF约为干式光刻DOF1.44倍(193nm,在标准大气温度和压力下,水折射率1.44)。实际上,对于给定NA,浸没式光刻中更高折射率能够将更高空间频率(更高衍射级)耦合到光刻胶中,而在干式光刻情况下,高衍射级只是简单地内部反射到透镜中具有NA>1镜头,而不是耦合到光刻胶中。对于给定NA,伴随着分辨率增强,浸没式光刻比干式光刻提供更大焦深,并支持高NA成像(NA>1.0)。干法和浸没式光刻中分辨率与焦深公式推导NA=0.85时干式和浸没式光刻焦深性能对比λ0

nꢁꢂꢃꢄꢅꢆꢇꢁꢂꢃλ0ꢈꢉRair

=

ꢀ1=

ꢀ1λ0

nwaterꢄꢅꢆꢇꢏꢁꢐꢋꢃλ0ꢈꢉRꢂꢊꢊꢋꢃꢌꢂꢍꢎ

=

ꢀ1=

ꢀ1λ0

nꢄꢅꢆ2ꢇꢁꢂꢃ/ꢖꢁꢂꢃλ0

nꢁꢂꢃꢑꢒꢓꢔꢃꢕ

=

ꢀ1n

λ=

ꢀ1

ꢗ22[ꢆ

ꢄꢅꢆ

/ꢖ]2ꢁꢂꢃꢁꢂꢃꢆꢁꢂꢃꢁꢂꢃ

0=

ꢀ1λ0

nꢏꢁꢐꢋꢃꢈꢉ2ꢑꢒꢓ=

ꢀ1ꢂꢊꢊꢋꢃꢌꢂꢍꢎꢄꢅꢆ2ꢇꢏꢁꢐꢋꢃ/ꢖλ0

nnλꢏꢁꢐꢋꢃꢏꢁꢐꢋꢃ

0ꢈꢉ2=

ꢀ1=

ꢀ1ꢗ[ꢆ2ꢏꢁꢐꢋꢃꢄꢅꢆ2ꢇꢏꢁꢐꢋꢃ/ꢖ]ꢆ2ꢏꢁꢐꢋꢃ资料:光刻人笔记、华金证券研究所请仔细阅读在本报告尾部的重要442.3

数值孔径(NA)——物镜2.3.2

路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NA成像u

像方浸液、使用反射元件与增加非球面,突破非浸没投影光刻物镜理论极限。非浸没投影光刻物镜理论极限NA为0.95,如想进一步增大NA则需要采用像方浸液、使用反射元件和增加非球面等措施。当NA超过0.75时,系统需要采用非球面;当NA超过0.95时,系统需采用像方浸液技术;当NA超过1.1时,系统需加入反射镜组成折反式结构。在193nm工作波段采用像方水浸液技术可使光刻物镜NA达到1.35。采用折射式结构会使物镜口径急剧增大并且难以校正场曲,因此必须在系统中加入反射元件。折反式结构除有利于提高系统NA之外,反射元件还有利于降低系统色差。提高光刻物镜NA的方法浸没式和干法ArF光刻的主要物理和光学差异45资料:《高数值孔径投影光刻物镜的光学设计(徐明飞)》、《Foraysthroughthelithographyofmicroelectronics(MatthiasSchirmer)》、华金证券研究所2.3

数值孔径(NA)——物镜2.3.3

制造:物镜加工精度确保光线高精度聚焦u

镜坯首先由高精度机床铣磨成型,再使用小磨头抛光、磁流变抛光、离子束抛光等超精密抛光手段,才能达到所需的精度,最后再进行镀膜(对于DUV物镜,是镀减反射膜;对于EUV物镜,是镀反射多层膜)。高数值孔径极紫外光刻的照明系统由大约

25,000

个零件组成,重量超过六吨,其投影光学系统由40,000多个零件组成,重约12吨,可确保晶圆扫描仪中的光线高精度聚焦。为此,在物镜表面上蒸镀了100多个极薄的硅和钼层-每层厚度只有几个原子层。需要精度举例,如一面镜子大到可以覆盖德国的表面积,其最大的不均匀度将小于100微米。光刻机镜片制造流程清洁物镜量测研磨电脑控制抛光离子束修形涂层粗糙度量测资料:ZEISS、IPCEI、马氏体、华金证券研究所462.3

数值孔径(NA)——物镜2.3.3

制造:物镜加工精度确保光线高精度聚焦u

极紫外光刻物镜需要适应以全频段、亚纳米精度制造为代表的一系列苛刻要求,才能保证光刻性能。采用光学自由曲面设计,减小光学系统波前误差,增大数值孔径,减少元件数量;使用超低膨胀微晶玻璃来保证工作稳定性,这种异质材料高性能超精密制造工艺难度较大。目前,只有德国蔡司集团能够生产面向大规模制造的极紫外光刻物镜;我国重点研究的深紫外光刻物镜制造技术,与国际先进水平相比差距明显,是制约极大规模集成电路制造技术发展的“卡”环节。ASML投影物镜加工工艺十分精密目前市面上最如果投影物镜镜片直径跟中国东西距离一样大,那么在如此大的平面上,因加工产生的平整度高低起伏误差4CM都不到(小于一颗乒乓球的直径)高级别的单反相机镜头加工产生的像差在200nm以上,而ASML

DUV高端投影物镜的像差则被控制在2nm内。最高级别的单反镜头可支持全画幅6千万像素分辨率,而ASML的投影物镜的分辨率则可支持1千6百亿画素资料:《高端光学元件超精密加工技术与装备发展研究(蒋庄德等)》、ZEISS、ASML、华金证券研究所472.3

数值孔径(NA)——物镜2.3.4

现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板时间2021202520302035突破超精密加工的共性关键技术:①全频谱纳米/亚纳米级精度创成,②近无缺陷高表面完整性加工,③超精密机床正向设计与数据资源建构,④超精密智能机床制造研发和应用关键超精密加工装备:4m及以上口径光学元件毛坯制造基础装备,轻量化及超精密磨削装备,亚纳米级加工装备,超大口径光学元件超精密测量仪器目标国产光学元件超精密制造装备的国内市场占有率为70%国产光学元件超精密制造装备的国内市场占有率为80%国产高档数控系统的国内市场占有率为70%国产高档数控系统的国内市场占有率为80%国产超精密关键功能部件的国内市场占有率为70%面形误差PV≤0.2μm/75mm,表面粗糙度Ra≤3nm国产超精密关键功能部件的国内市场占有率为80%面形误差PV≤0.1μm/75mm,表面粗糙度Ra≤1.5nm超精密车削装备面形误差PV≤0.14μm/75mm,表面粗糙度Ra≤2nm重点产品面形误差PV≤0.2μm/75mm,表面粗糙度Ra≤8nm面形误差PV≤0.15μm/75mm,表面粗糙度Ra≤5nm超精密铣削装备面形误差PV≤0.3μm/75mm,表面粗糙度Ra≤10nm超精密磨削装备加工口径≥4m,面形误差PV≤10μm/m,亚表面损伤≤35μm加工口径≥5m,面形误差PV≤8μm/m,亚表

加工口径≥7m,面形误差PV≤8μm/m,亚表面损伤≤15μm面损伤≤25μm超精密抛光装备加工口径≥4m,面形误差RMS≤12nm加工口径≥5m,面形误差RMS≤8nm加工口径≥7m,面形误差RMS≤5nm资料:《高端光学元件超精密加工技术与装备发展研究(蒋庄德等)》、华金证券研究所482.3数值孔径(NA)——物镜2.3.4

现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板时间2021年2025年2030年2035年开放式、网络化的云架构数控系统,基于云平台的智能数控系统,多轴、多通道、纳米插补数控加工技术,具备自适应加工、刀具寿命管理、在位测量、虚拟现实仿真、自监控、维护、优化、重组等功能数控系统超精密动静压轴承制造与检测技术,超精密反馈元件和运动控制技术关键功能部件多物理量状态感知技术,多物理量调控技术,精度和刚度补偿技术数控系统、功能部件和刀具多物理量可调运动部件技术,检测单元技术具备高性能功能部件制造能力关键功能部件具备高精度、高刚度、运动平稳性功能部件制造能力具备智能化功能部件制造能力细粒度高性能金刚石/CBN砂轮结构化车/铣刀具超细粒度高性能金刚石/CBN砂轮刀具刀具工作状态智能化监测,刀具数字化设计制造一体化机床误差的自适应/智能检测与评价可控柔体亚纳米抛光工具快速在机误差测量仪器和标准超精密机床误差识别与实时补偿技术光学元件的低应力高效率接触式测量技术机床精度测量测量方法与装备光学元件在位测量复杂光学元件的多参数跨尺度形性测量技术光学元件时-空域形性参数的跨尺度表征与信息融合技术复杂光学元件的非接触式超精密测量技术复杂光学元件亚纳米几何精度测量技术光学元件离线策略表面完整性多参数表征技术超大口径轻量化空间光学元件制造探测制导光学元件高性能精密制造强光光学元件抗辐照损伤制造极紫外光刻机物镜超精密制造应用示范工程资料:《高端光学元件超精密加工技术与装备发展研究(蒋庄德等)》、华金证券研究所492.4

工艺系数(k1)——计算光刻技术u

计算光刻技术是指利用计算机辅助技术来增强光刻工艺中图形转移保真度的一种方法,它是分辦率增强技λ术(RET)的延伸,其关键技术主要包括光学成像物理仿真、光学邻近效应校正

(OPC)、光源-掩膜协同优化(SMO)等。Rꢀꢀꢀꢀꢀꢀꢀk1NAu

计算光刻技术通过软件来模拟和优化光刻过程中各种元素,如光源、掩膜版、镜头等,让光线能够更精确地照射到晶圆上,帮助光刻机更好刻画出芯片微小结构,实现更高分辨率和效率,避免错误与缺陷。计算光刻发展路线资料:英伟达、芯东西、SemiConnect、电子发烧友、华金证券研究所

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论