液晶显示模块的应用_第1页
液晶显示模块的应用_第2页
液晶显示模块的应用_第3页
液晶显示模块的应用_第4页
液晶显示模块的应用_第5页
已阅读5页,还剩138页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第6章液晶显示模块的应用6.1液晶应用一览6.2笔段型液晶显示模块的应用6.3字符点阵型液晶显示模块的应用6.4内置控制器型图形点阵型液晶显示模块的应用6.5液晶显示器件故障的简易查询及排除方法6.1液晶应用一览

6.1.1液晶应用之树液晶的应用大体简化为四大类:显示、光学、传感和其他类

液晶应用分类应用类别领

域显

示数字显示、模拟显示,如:手表、时钟、计算器、通讯、仪器、仪表、家电、医疗器械、车船仪表、声像设备、文体用品等字符、图形显示,如:个人便携式电脑、文字处理机、智能化仪表、办公设备、示波器、工业测量仪表、自动化控制面板、翻译机、终端设备、导航仪、电子地图、通讯设备、军事装备等视频图像显示,如:便携式电视、摄录一体机、壁挂电视、投影电视、可视电话、数码像机、工业控制器、军用、文娱用等大画面显示,如:广告、巨型电视墙、投影仪、车站、码头、航空港的公告显示等光

学快门、光阀,如:电焊护目镜、强光、幅射护目镜、立体电视机、摄像快门等调光器件,如:电子窗帘、防眩镜、调光器等透镜,如:变焦透镜、自动光圈等偏光器,如:光路切换器、光偏转器等光调制器,如:空间调制器、空间频率滤波器、相位衍射光栅光逻辑器件光存储器传感测量温度传感与测量,如:温度示色膜、温度分布检测、无损探伤、人体浅层癌变检查、工艺品、装饰、玩具电声、电压传感器,如:扬声器、微波检测、天线设计红外线传感,如:红外线图像仪、红外测量超声波传感器,如:超声波强度分布测量)流速、加速度传感器气敏传感器、气压传感器色谱分析其他传感器其

他纺织电声材料印刷6.1.2液晶在显示技术上的应用液晶显示器件是液晶应用的主体,发展很快。我们知道,液晶显示器件显示的图像并不醒目,视角又小,有很强的温度特性,显示质量也不算高,但为什么有那么大的魅力呢?这是和液晶显示器件的综合优点分不开的。液晶显示器件具有以下几大特点:①低压工作、微功耗。②与集成电路匹配性能好,配套驱动简单、直接。③平板型、装配体积小。④被动显示、不怕光冲刷。⑤彩色化容易,效果逼真。⑥显示面积可大可小。⑦设计、改型容易。⑧易于大批量生产。⑨工艺不断更新、成本还会降低。这些特点,使液晶显示器件综合性能比其他显示器件都具有更大优势。随着液晶显示器件本身技术的成熟,产品的更新换代,一些原有的缺陷被不断克服,使得其应用领域还会进一步扩大。6.1.3液晶在光学器件方面的应用由于液晶具有受外场引起的分子排列变化可以调制外界光的基本特性,使液晶可以加工成各式各样的电控光学器件。其实显示器件也可以广义地被看作各类液晶光阀制作的光学器件。但是与显示器件不同的是,作为光学器件我们更关心的是液晶因电压作用而引起的折射率、双折射特性的变化,双折射波长色散特性等等。这一方面的应用主要有:液晶电子光阀、液晶调光器件、光调制器及相位衍射光栅和光路切换和光束偏转器等。6.1.4液晶在计量和传感方面的应用液晶分子排列极容易受外场,如电、热、磁,压力等的影响,一旦受外场作用,分子排列发生变化将随之引起光学特性以及其他参数的改变。利用这一特性可以在检测、测量和传感技术方面得到广泛的应用。向列相液晶在传感和检测方面的应用主要有:无损检测集成电路、高灵敏度温度传感器、加速度传感器、物体位置测定、超声波检测和电压测量等。胆甾液晶在传感和检测方面的应用主要是利用胆甾液晶在外场作用下螺距变化而呈现的干涉光颜色。其应用主要有:示温变色温度计、非破坏性试验的检测探查、流速检测、压敏元件、场测量、多种临床医疗用检查膜、装饰用品、印刷和防伪商标等。6.1.5液晶聚合物的应用有一类聚合物在溶融时,由于分子具有液晶的各向异性而呈液晶态,我们称之为热致变液晶聚合物,简称LCP。这类物质既有液晶光学异性又有聚合物的易于加工良好的成膜性和成型性,有更加广泛的应用价值。1侧链型液晶聚合物的应用侧链型液晶聚合物主要用于制作各种新型功能性材料,如记忆、记录元件、光调制器件、光学元件、偏振片等。另一类是所谓直链型和复合型液晶聚合物。它主要是用于制作一些高性能材料,如高强度、高弹性、耐高温,耐老化等特殊材料。2胆甾液晶聚合物的应用胆甾液晶聚合物是由胆甾液晶与高分子聚合物聚合、固化而成。其中以侧链液晶聚合物为重点,通常是致晶功能团直接或间接与聚合物骨架连接。常见骨架以聚丙烯酸盐和聚丙烯酸脂为主。由于它是由胆甾液晶与聚合物聚合而成,保留了胆甾液晶的所有光学特性,好象将这些特性“凝固”在聚合物中一样,而且,一般不随温度等外界条件而改变。适当的改变聚合物中手性液晶浓度,改变聚合温度,以及注入不同的添加剂,将可以方便地调节胆甾液晶聚合物的光学特性。因此,这种胆甾液晶聚合物具有广泛的应用前景。其中,在光学信息存储、强激光技术及显示上都有极重要的应用。6.2笔段型液晶显示模块的应用

6.2.1笔段型液晶显示模块简介笔段型液晶显示模块是指以长条状显示像素组成一位显示类型的液晶显示模块,简称段型液晶显示模块。专业的液晶显示模块归于此类,如空调上,游戏机上用液晶显示模块等。段型显示模块主要用于数字显示,或围绕数字显示。在形状上总是围绕数字“8”的结构变化。在显示数字的基础上,也可以显示西文字母、某些专用符号或固定图形,还可以将一个汉字或一个汉字组作为一段显示。从显示形状上分类,段型显示可分为六段显示,七段显示,八段显示,九段显示,十四段显示和十六段显示等。其中以七段显示最为常用,被广泛应用于各种数字仪表、计时器、计数器等。段型显示还包含了为专业显示而设计的固定图形和字符。从段型液晶显示模块的驱动方式上分类,可分成静态驱动和动态驱动两种。由于段型动态液晶显示模块寻址路数一般不超过4路,所以对段型液晶显示模块而言,动态驱动通常被称为是多路寻址驱动法。一个段型液晶显示模块的驱动方式主要取决于该模块各显示像素外引线的引出与排布方式。静态液晶显示模块引线排布与结构如本书第三部分第一篇(上)驱动知识篇中第二节图5-1所示。多路寻址驱动的液晶显示模块引线排布和结构示例(a)4×2驱动(b)3×3驱动由于引线电极排布不同,故其驱动方式也就不同。所以在使用液晶显示器件时首先要弄清楚这点。不同的电极排布要配不同的驱动器,使用不同的控制方法。静态驱动方法的应用电路/多路寻址驱动方法的应用电路(c)3×3驱动(d)2×4驱动6.2.2静态驱动法及其应用电路举例:集成电路HEF4543的应用电路HEF4543是一位BCD——七段译码带数据锁存功能的驱动器,它可以驱动发光二极管的七段数码管,也可以驱动七段液晶显示器件。当锁存端LD=1时,锁存器输出随数据输入端DCBA的变化而变化,当LD=0时,锁存器保存最近数据,并禁止锁存器接受数据。HEF4543还设置了显示开关信号BI。当BI=1时关闭显示。PH为驱动相位控制端,它连接驱动器中异或门的输入端,控制着驱动器输出的相位。BILDDCBA显

示1000x110x0~9A~Fx无显示0~9无显示不变HEF4543的真值表和译码表HEF4543原理框图HEF4543只有背电极BP的同步信号输入端PH,为了实现静态驱动波形,PH需要外部振荡电路提供脉冲信号,液晶显示器件背电极BP所需的驱动脉冲序列也由此电路提供。HEF4543驱动4位液晶显示器件的驱动电路

从图中可以看出:

555集成电路组成了振荡器,振荡频率在(32~200)Hz范围内调整。振荡器的输出经D触发器整形后产生出占空比为50%的脉冲序列。这个脉冲序列提供给HEF4543的PH和液晶显示器件的背电极BP,从而形成完整的静态液晶显示驱动系统。由于HEF4543数据输入接口处具有数据锁存器,并有锁存信号LD控制,所以HEF4543可以直接挂在计算机的总线上,LD信号可以看成是显示数据的写入信号由地址线和写操作信号合成产生。通常使用的液晶显示器件都是多位数字显示结构,比如4位液晶显示器件。这就需要多片HEF4543组成驱动器组6.2.3多路寻址液晶显示器件驱动应用电路以下将介绍几种段型液晶显示器件的多路驱动器。这种IC电路的特点是驱动路数不大于4路。1动态段型液晶显示驱动控制器PCF8576CPCF8576C是具有I2C总线接口的液晶显示驱动控制器

PCF8576C为40路段驱动输出,最大能驱动40×4路共160段液晶显示器件。可以级联以适应驱动较大规模的LCD显示器。不仅可以通过I2C总线接口与具有I2C总线接口的MCU相连,也可以通过I2C总线的模拟,与MCU的通用I/O口相连。其内部设置的显示RAM以及子地址的自动增量和显示方式自动切换使其通讯控制量减少到最小。1)引脚分布液晶显示驱动控制器的应用原理

(以PCF8576C为例)引脚定义:SDAI2C总线数据输入/输出SCLI2C总线时钟输入/输出/SYNC级联同步输入/输出CLK外部时钟输入/输出VDD电源正端OSC振荡器输入A0、A1、A2I2C总线器件的引脚地址端SA0I2C总线从地址位0输入VSS逻辑地VLCDLCD电源电压BP0~BP3LCD背极输出(即COM输出)S0~S39LCD段输出(即SEG输出)PCF8576的引脚分布2)PCF8576的电路结构

3)驱动能力从PCF8576的原理图可知,PCF8576C具有4路背电极输出BP0~BP3,它们直接与LCD相连,驱动方式可在静态、2路、3路或4路驱动之中选一。如果少于4个背极输出时,不使用的背极可空出。在三路驱动方式中,BP3与BP1的输出信号相同;在二路驱动方式中,BP0和BP2、BP1和BP3输出信号相同;在静态驱动方式中所有4个背极输出相同的信号。对于输出信号相同的背极可以并联起来,以增加LCD的驱动能力。PCF8576C有40路段驱动输出S0~S39。它们应与LCD直接相连。段输出信号是根据背极输出及显示锁存器中锁存的数据生成的。当少于40段输出时,不用的段输出可空。PCF8576C最大可以驱动40×4段液晶显示器件。显示偏压由液晶显示电压选择器提供,电压由VDD和VLCD提供,偏压方式由偏压发生器产生,可以生成静态、1/2偏压和1/3偏压三种。PCF8576的电路结构原理4)PCF8576的显示存储器PCF8576的显示数据是通过输出单元选择器从显示存储器中取出,串行地移入移位寄存器内;移位寄存器将显示数据并行输出锁存入锁存器;再由锁存器输出给显示段驱动器。PCF8576C具有40×4位的显示存储器。它用于存储显示数据。存储单元与液晶显示段有着对应的关系。显示数据为“1”相当于液晶显示段为显示状态;显示数据为“0”相当于液晶显示段为不显示状态。显示存储器实为双端口存储器。显示存储器的结构显示数据写入BP0行的格式显示数据的驱动输出由输出单元选择器选择同一背电极的显示位传送给显示数据移位寄存器内,然后锁存人数据锁存器内。输入单元选择器根据所设置的驱动方式将接收的显示数据写入显示存储器内。数据写入的顺序是一字节的最高位D7在先,最后为最低位D0。每写入一个字节的数据后,地址指针将按照所选的驱动方式的地址增值自动修正,以指定下一个字节数据的存储器单元。在静态驱动方式下,仅有背电极BP0使用,显示数据仅可以传送给BP0行或BP2行的各位,地址指针增值为8。静态驱动方式数据写入顺序二路驱动方式数据写入顺序在二路驱动方式下,背电极BP0、BPl使用,显示数据以先BP0后BPl的次序传送给BP0和BPl行的各位,或者以先BP2后BP3的次序传送给BP2和BP3行的各位,地址指针增值为4,图6-9给出显示数据写入BP0,BP1行的格式。二路驱动方式数据写入顺序三路驱动方式数据写入顺序在三路驱动方式下,背电极BP0、BPl、BP2被使用,显示数据以先BP0,再BPl,后BP2的次序传送给BP0,BPl和BP2各行,地址指针增值为3,如图6-13所示。此时要注意的是8位数据的传输给BP2的第三位制造出一位的死位,这一位在下一字节传送时是不会补充的,所以在使用时要考虑段电极结构的设计和段电极驱动引线的连接。三路驱动方式数据写入顺序四路驱动方式数据写入顺序在四路驱动方式下,背电极BP0~BP3都使用,显示数据依次BP0至BP3传送,地址指针增值为2,如图所示。四路驱动方式数据写入顺序5)PCF8576的时序PCF8576C内部具有振荡器和定时器,用以产生PCF8576C的工作时钟和显示脉冲序列。振荡器由OSC端状态控制。在定时器上有两个输入/输出端,它们是时钟输入/输出端CLK和级联同步输入/输出端/SYNC,它们用在PCF8576C的级联上。当使用内部振荡器工作时,OSC端对地(Vss)端跨接一个电阻ROSC。CLK和/SYNC作为输出提供其他芯片的工作时钟和同步信号;当使用外部时钟时,将OSC接VDD停止内部振荡器的工作,接收从CLK输入的时钟信号。PCF8576C的时钟频率fCLK约为34M/Rosc(Hz。为了允许I2C总线在最大传输速率100kHz工作,fCLK应大于125kHz。PCF8576C有正常运行方式和节电运行方式两种。在节电运行方式下时钟频率比正常运行方式要减至1/6。在这两种方式下要保持液晶显示驱动帧频64Hz不变,振荡器的电阻Rosc的取值为180kΩ和1.2MΩ。6)PCF8576的接口PCF8576C的I2C总线接口由输入滤波器、I2C总线控制器和子地址计数器组成。输入滤波器为I2C总线接口的连接部,它有两个输入/输出端:SDA为总线数据输入/输出端,SCL为总线时钟输入/输出端,它们作为数据的传输接口类同串行接口。I2C总线控制器有一端SA0作为PCF8576C的总线从地址最低位的设置端。PCF8576C在I2C总线上的从地址被指定为011100A(7位),A=SA0,或为“1”,或为“0”,也就是说在I2C总线上给PCF8576C提供了两个从地址。在子地址计数器中有三个输入端A0、A1、A2。这是PCF8576C作为级联使用时的子地址的设置端。这样在I2C总线上一个从地址上可以挂8个PCF8576C,一共可以使用16个PCF8576C。7)PCF8576的级联工作在大规模的LCD显示结构中,通过3位(A2、A1、A0)子地址和已编程的I2C总线从地址(SA0),I2C总线能识别16个PCF8576。因此PCF8576最多可级联16个。当级联时,各个PCF8576是同步的,因此,级联中的PCF8576可从一个器件分享背极信号。这种布局对于大规模的LCD驱动控制是十分方便的,因为只需要一个器件输出背极驱动,级联中其他的PCF8576只分配其余的段驱动输出而断开其背极驱动,即用多个PCF8576实现显示段的扩展。同步信号线/SYNC将保证所有级联的PCF8576间正确的同步。实际上在上电复位后就实现了同步,但是由于偶然因素(如噪声环境的破坏作用)失去同步时,需要通过/SYNC同步。/SYNC是输入输出引脚,其输出为带有内部上拉电阻的开漏驱动结构。一个PCF8576保证/SYNC线在有效背极信号最后开始并在所有的其他时间内监视SYNC线。当级联中的PCF8576失去同步,将通过第一个PCF8576维持的SYNC复原。8)PCF8576的指令集PCF8576C具有五条简单的控制指令,每条指令的最高位C确定了下一传输的数据性质。C=1表示下一字节为指令代码,C=0表示下一字节为显示数据。●方式选择指令(MODESET)方式选择指令格式如下:C10LPEBM1M0M1M0驱动方式01静态驱动(BP0)10二路驱动(BP0、BP1)11三路驱动(BP0~BP2)00四路驱动(BP0~BP3)M1、M0驱动方式选择:B液晶驱动偏置电压选择:01/3偏压11/2偏压E显示状态控制:0显示禁止(暗)1显示允许LP工作方式选择:0正常工作方式1节电工作方式●数据指示器装载指令(LOADDATAPOINTER)数据指示器装载指令格式如下:C0P5P4P3P2P1P0P5~P0取值在0~39(0~27H)范围内。该数值写入地址指针内,用以确定显示存储器内40个单元之一。在读写显示数据操作后,地址指针将根据驱动方式自动修改。当地址指针修改已满,则自动修改子地址值,使下一显示数据写入级联的下一级的PCF8576C中。●器件选择指令(DEVICESELECT)PCF8576多片使用时,器件选择指令用来选择0111000或0111001从地址中的8个PCF8576地址,其格式如下:C1100A2A1A0A2、A1、A0为三位二进制值(0~7),该三位(A2、A1、A0)立即数传送给子地址计数器以确认8个硬件子地址。每个从地址下级联的8片PCF8576C的识别是通过对各片子地址计数器的地址设置端A0~A2电平状态的判别来实现。该指令通过写入所需PCF8576C的子地址值与各片PCF8576C的子地址计数器的A2~A0的状态比较,相等值的PCF8576C即开始接收计算机下面发送的指令或数据。当单片使用时将A0~A2端电平置“0”,不需要使用这条指令。●存贮体选择指令(BANKSELECT)存贮体选择指令用于选择输入存贮体和输出存贮体,在三路和四路驱动方式中该指令无效。指令格式如下:C11110IOPCF8576C在静态驱动方式和二路驱动方式下可以将显示存储器分成两个显示区,显示数据的写入与显示都可以指定显示区,这与写入操作无关,也与显示扫描驱动无关,也就是说,当写数据时,数据写到何区仅与该指令的设置有关,至于何区的显示数据输出,也仅与该指令的设置有关,而背电极的驱动端不变。该指令的意义在于可以制造出两个显示区用于选择显示或交替闪烁显示。O输出存贮体选择(LCD显示数据的检索):静态驱动方式下BP0为背电极驱动输出0显示区0BP0行位1显示区lBP2行位二路驱动方式下BP0、BPl为背电极驱动输出

0显示区0BP0、BPl行位

1显示区lBP2、BP3行位I输入存贮体选择接收到的显示数据存放:静态驱动方式下BP0为背电极驱动输出0显示区0BP0行位1显示区1BP2行位二路驱动方式下BP0、BPl为背电极驱动输出0显示区0BP0、BPl行位1显示区1BP2、BP3行位●闪烁选择指令(BLINK)闪烁选择指令用以选择闪烁频率及闪烁方式,指令格式如下:C1110ABF1BF0BF1、BF0用以选择闪烁频率:BF1BF000不闪烁012Hz频率闪烁101Hz频率闪烁110.5Hz频率闪烁A用以选择闪烁方式:0正常闪烁1交替闪烁,由显示RAM体交替闪烁,在三路和四路驱动方式中不会出现。显示控制器执行由指令译码器所识别的指令,它包括PCF8576的状态寄存器并协调它们的作用。如有需要,显示控制器也能可靠地将显示数据按填充规则装载到显示RAM中。9)PCF8576与计算机的连接PCF8576C在与具有I2C总线的计算机连接时操作是非常容易的。但与不具备I2C总线控制的计算机而言就需要使用软件实现I2C总线的规范。目前使用的比较广泛的51系列单片机一般不具有I2C总线,为了更好的说明PCF8576C的应用,首先将I2C总线的传输时序及有关规范简单地叙述下,如图所示。I2C总线的数据传输格式时序解释起始信号S:是在SCL线是高电平时,SDA线从高电平向低电平切换,如图6-11所示。数据传输:计算机以一字节为单位传输,最高位在先。应答信号:在一字节数据传输完成后,计算机释放数据线SDA在SCL发送一个脉冲,从SDA线上读取电平信号。信号为“0”表示接收器已接收到所传输的数据,信号为“1”表示接收器正忙无法传输数据。终止信号P:当SCL是高电平时,SDA线由低电平向高电平切换,如图6-12所示。图6-11I2C总线的起始信号S图6-12I2C总线的停止信号PI2C总线的传输频率为100kHz。需要注意的是,I2C总线要求在数据线和时钟线上必须接上拉电阻。10)上电复位上电后,PCF8576复位到以下状态●所有背极及段输出为VDD●选择1/3偏压四路驱动方式●闪烁开关断开●输入、输出存贮单元选择器为复位状态●I2C总线接为初始化状态●数据指针和子地址控制器被清除在PCF8576上电后的1ms期间内应避免进行I2C总线的数据传送,以完成复位过程。11)PCF8576的使用从上图中可以看出:AT89C51的通用并行口P1.0和P1.1与PCF8576的I2C总线相连,P1.0连接SDA,P1.1连接SCL,同时按照I2C总线的规范设置上拉电阻。因为AT89C51不支持I2C总线,所以编写显示驱动程序的时候必须在时序上满足I2C总线的传输格式。在OSC端上接180kΩ的振荡电阻。在VLCD端接一个电位器用以调节液晶显示的对比度。A2、A1、A0与SA0直接接地,此应用中PCF8576的从地址为70H(0x70),器件的地址为A2A1A0=000。PCF8576的典型应用驱动显示该典型应用中使用的液晶显示器件为四路驱动,使用了所有的段电极,可以实现160段的显示。即至少可以驱动显示20个7段数字字符,如图(a)所示。其电极连接、显示RAM装填顺序与发送的显示字节如图(b)所示。根据上图所示,可以得到0~9这十个数的显示字模数据如表所示显示内容0123456789字模数据EDh60hA7hE3h6AhCBh4FhE0hEFhEBh图(a)图(b)12)显示驱动程序当AT89C51接的晶振为12MHz时,指令周期为1μs。显示驱动程序要实现100kHz的传输速率。本显示驱动程序主要完成的功能就是如上图所示的20位数字的液晶显示模块从0~9的循环显示。谨供读者参考,读者可以自行修改源代码来实现更多的功能。

SDAEQUP1.0SCLEQUP1.1COUNTEQU40hORG0000hLCALLDelay1msPCF8576SET:LCALLSTART;启动I2C总线

MOVA,#70h;送器件从地址0111000;写操作信号为0LCALLSentByteMOVA,#0C8h;工作方式选择

;四路驱动,1/3偏压,正常工作,开显示,下一数据为指令

LCALLSentByteMOVA,#0E0h;器件选择

LCALLSentByteMOVA,#0F0h;闪烁方式选择

LCALLSentByteLCALLSTOP;关闭I2C总线PCF8576Disp:MOVDPTR,#TAB;送字模表首址

MOVB,#10;0~9,10个数

LCALLSTART;启动I2C总线Disp1:MOVA,#00h;写入地址指针设置指令

;将地址指针设在00H上,下一数据为显示数据

LCALLSentByteMOVR4,#20Disp2:MOVA,#00hMOVCA,@A+DPTRLCALLSentByteDJNZR4,Disp2;每个数字送20次

LCALLSTOP;关闭I2C总线

LCALLDelay200msLCALLDelay200msINCDPTRDJNZB,Disp1AJMPPCF8576DispSentByte:MOVCOUNT,#08H;要传送的数据长度为8位SentB:RLCA;要发送的数据左移,发送位入CJCSent1;C为“1”,跳到发送“1”的子程序

CLRSDA;C为“0”,发送“0”SJMPSentSent1:SETBSDANOPSent:NOPSETBSCL;置时钟线为高通知被控器开始接收数据位

NOP;保证时钟高周期大于4usNOPNOPNOPNOPCLRSCL;钳住总线准备接收下一个数据位

DJNZCOUNT,SentB;8位没发送完继续发送

NOPSETBSDA;8位发送完后释放数据线准备收应答位

NOPAckEnd:SETBSCL;开始接收应答信号

NOP;保证时钟高周期大于4usNOPNOPNOPNOPJBSDA,AckEnd;判断是否接收到应答信号

CLRSCL;发送结束钳住总线准备下一步

RETSTART:SETBSDA;发送起始条件的数据信号

NOPSETBSCL;发送起始条件的时钟信号

NOP;起始条件建立时间大于4.7usNOPNOPNOPNOPCLRSDA;发送起始信号

NOP;起始条件锁定时间大于4usNOPNOPNOPNOPCLRSCL;钳住I2C总线准备发送或接收数据

RETSTOP:CLRSDA;发送停止条件的数据信号

NOPSETBSCL;发送停止条件的时钟信号

NOP;起始条件建立时间大于4usNOPNOPNOPNOPSETBSDA;发送I2C总线停止信号

NOPNOPNOPNOPRETDelay1ms:MOVR7,#100;延时1ms左右D1:MOVR6,#10D2:DJNZR6,D2DJNZR7,D1RETDelay200ms:MOVR5,#200;延时200ms左右

DJNZR5,Delay1msRETTAB:DB0EDh,60h,0A7h,0E3h,6Ah,0CBh,4Fh,0E0h,0EFh,0EBhEND2动态段型液晶显示驱动控制器HT1621HT1621是台湾合泰(Holtek)公司推出的128点内存映象和多功能的LCD驱动控制器,目前,在段式液晶显示模块中得到了广泛的应用。因此,在本节中,将对HT1621的特性、功能和使用做一个介绍。(1)HT1621的概述HT1621是128点内存映象和多功能的LCD驱动器,HT1621的软件配置特性使它适用于多种LCD应用场合,包括LCD模块和显示子系统。用于连接主控制器和HT1621的管脚只有4或5条,HT1621还有一个节电命令用于降低系统功耗。(2)HT1621的管脚分布管脚I/O功能描述/CSI片选输入,接一上拉电阻。当/CS为高电平,读写HT1621的数据和命令无效,串行接口电路复位;当/CS为低电平和作为输入时,读写HT1621的数据和命令有效。/RDIREAD脉冲输入,接一上拉电阻。在/RD信号的下降沿,HT1621内存的数据被读到DATA线上,主控制器可以在下一个上升沿时锁存这些数据。/WRIWRITE脉冲输入,接一上拉电阻。在/WR信号的上升沿,DATA线上的数据写到HT1621。DATAI/O外接上拉电阻的串行数据输入/输出。VSSI负电源;地。OSCIOSCOIOOSCI和OSCO外接一个32.768KHz晶振用于产生系统时钟;若用另一个外部时钟源,应接在OSCI上;若用片内RC振荡器,OSCI和OSCO应悬空VLCDILCD电源输入。VDDI正电源。/IRQO时基或看门狗定时器溢出标志,NMOS开漏输出。BZ,/BZO声音频率输出。COM0~COM3OLCD公共输出口。SEG0~SEG31OLCD段输出口。(3)HT1621的电路结构

(4)HT1621的驱动能力HT1621是一个128(32×4)点的LCD驱动器,它可由软件配置成1/2或1/3的LCD驱动器偏压和2、3或4个公共端口。这一特性使HT1621适用于多种LCD应用场合。(5)HT1621的控制部显示内存(RAM)静态显示内存(RAM)以32×4位的格式储存所显示的数据。RAM的数据直接映象到LCD驱动器,即显示数据为“1”相当于液晶显示段为显示状态;显示数据为“0”相当于液晶显示段为不显示状态。图RAM映射图系统振荡器与声音输出系统振荡器HT1621系统时钟用于产生时基/看门狗定时器(WDT)时钟频率、LCD驱动时钟和声音频率。片内RC振荡器(256KHz)、晶振(32.768KHz)或一个外接的由软件设定的256KHz时钟可以产生时钟源。当系统时钟停止工作时,LCD将显示空白,时基/看门狗定时器功能也将失效。声音输出HT1621内嵌一个简单的声音发生器,可以在管脚BZ和/BZ上输出一对驱动信号,用于产生一个单音。管脚BZ和/BZ是声音输出管脚也是一对差动驱动管脚,用于驱动蜂鸣器。当系统失效或禁止声音输出时,管脚BZ和/BZ将保持低电平的输出。(6)接口HT1621只有四根管脚用于接口。管脚/CS用于初始化串行接口电路和结束主控制器与HT1621之间的通讯。管脚/CS设置为“1”时主控制器和HT1621之间的数据和命令无效并初始化。在产生模式命令或模式转换之前必须用一个高电平脉冲初始化HT1621的串行接口。管脚DATA是串行数据输入/输出管脚,读/写数据和写命令通过管脚DATA进行。管脚/RD是读时钟输入管脚。在/RD信号的下降沿时数据输出管脚DATA上,在/RD信号上升沿和下一个下降沿之间,主控制器应读取相应的数据。管脚/WR是写时钟输入管脚。在/WR信号上升沿时,管脚DATA上的数据地址和命令被写入。(7)HT1621的指令集命令名称命令代码D/C功能描述上电时复位缺省READ110a5a4a3a2a1a0d0d1d2d3D读RAM数据WRITE101a5a4a3a2a1a0d0d1d2d3D写数据到RAMREAD-MODIFY-WRITE101a5a4a3a2a1a0d0d1d2d3D读和写数据SYSDIS10000000000XC关闭系统振荡器和LCD偏压发生器SYSEN10000000001XC打开系统振荡器LCDOFF10000000010XC关闭LCD偏压发生器LCDON10000000011XC打开LCD偏压发生器TIMERDIS10000000100XC时基输出失效WDTDIS10000000101XCWDT溢出标志输出失效TIMEREN10000000110XC时基输出使能WDTEN10000000111XCWDT溢出标志输出有效TONEOFF10000001000XC关闭声音输出TONEON10000001001XC打开声音输出CLRTIMER100000011XXXC时基发生器清零CLRWDT1000000111XXC清除WDT状态XTAL32K100000101XXXC系统时钟源,晶振RC256K100000110XXXC系统时钟源RC振荡器,片内EXT256K100000111XXXC系统时钟源时钟源,外部BIAS1/21000010abX0XCLCD1/2偏压选项ab=00:2个公共口ab=01:3个公共口ab=10:4个公共口BIAS1/31000010abX1XCLCD1/3偏压选项ab=00:2个公共口ab=01:3个公共口ab=10:4个公共口TONE4K100010XXXXXXC声音频率,4KHzTONE2K100011XXXXXXC声音频率,2KHz/IRQDIS100100X0XXXXC使/IRQ输出失效/IRQEN100100X1XXXXC使/IRQ输出有效F1100101XX000XC时基/WDT时钟输出1HzF2100101XX001XC时基/WDT时钟输出2HzF4100101XX010XC时基/WDT时钟输出4Hz(8)HT1621的使用从上图中可以看出:在VLCD端接一个电位器用以调节液晶显示的对比度。该典型应用中使用的液晶显示器件为四路驱动,使用了所有的段电极,可以实现128段的显示。即至少可以驱动显示16个7段数字字符,可以参考HT1621的典型应用的说明。(9)显示驱动程序当AT89C51接的晶振为12MHz时,指令周期为1μs。本显示驱动程序主要完成的功能就是16位数字的液晶显示模块从0~9的循环显示。驱动程序

CSEQUP1.0WEQUP1.1DATEQUP1.2COUNTEQU40hORG0000hINIT:;初始化总线

SETBCSSETBWSETBDATCLRCSHT1621INIT:MOVA,80HLCALLWR3;先送命令代码100MOVA,#01H;打开系统振荡器

LCALLWR8MOVA,80HLCALLWR3;先送命令代码100MOVA,#18H;片内RC振荡

LCALLWR8MOVA,80HLCALLWR3;先送命令代码100MOVA,#29H;1/3偏压比,4个COMLCALLWR8MOVA,80HLCALLWR3;先送命令代码100MOVA,#03H;打开LCD偏压发生器

LCALLWR8SETBCSNOPCLRCSHT1621Disp:MOVDPTR,#TAB;送字模表首址

MOVB,#10;0~9,10个数Disp1:MOVA,#0A0H;送数据代码101LCALLWR3MOVA,#00H;将地址指针设在00H上

LCALLWR6MOVR4,#16Disp2:MOVA,#00hMOVCA,@A+DPTRLCALLWR8DJNZR4,Disp2;每个数字送16次

LCALLDelay200msLCALLDelay200msINCDPTRDJNZB,Disp1AJMPHT1621DispWR3:;送代码

MOVCOUNT,#03HWR3SENT:CLRWRLCA;要发送的数据左移,发送位入CJCWR3SENT1;C为“1”,跳到发送“1”的子程序

CLRDAT;C为“0”,发送“0”SJMPWR3SENT2WR3SENT1:SETBDATWR3SENT2:NOPSETBWNOPDJNZCOUNT,WR3SENT;3位没发送完继续发送

RETWR6:;送代码

MOVCOUNT,#06HWR6SENT:CLRWRLCA;要发送的数据左移,发送位入CJCWR6SENT1;C为“1”,跳到发送“1”的子程序

CLRDAT;C为“0”,发送“0”SJMPWR6SENT2WR6SENT1:SETBDATWR6SENT2:NOPSETBWNOPDJNZCOUNT,WR6SENT;6位没发送完继续发送

RETWR8:MOVCOUNT,#08HWR8SENT:CLRWRLCA;要发送的数据左移,发送位入CJCWR8SENT1;C为“1”,跳到发送“1”的子程序

CLRDAT;C为“0”,发送“0”SJMPWR8SENT2WR8SENT1:SETBDATWR8SENT2:NOPSETBWNOPDJNZCOUNT,WR8SENT;8位没发送完继续发送

RETDelay1ms:MOVR7,#100;延时1ms左右D1:MOVR6,#10D2:DJNZR6,D2DJNZR7,D1RETDelay200ms:MOVR5,#200;延时200ms左右

DJNZR5,Delay1msRETTAB:DB0EDh,60h,0A7h,0E3h,6Ah,0CBh,4Fh,0E0h,0EFh,0EBhEND6.3字符点阵型液晶显示模块的应用字符点阵型模块简介字符型液晶显示模块是一类专用于显示字母、数字、符号等的点阵型液晶显示模块。之所以称字符型液晶显示模块是因为其液晶显示器件的电极图形是由若干个5×8或5×11点阵块组成的字符块集,其液晶显示器件的电极排布可以参阅本书第一部分第二篇(上)的第二节中的图2-6。从图2-6可以看出:每一个字符块是一个字符位,每一位都可以显示一个字符,字符位之间空有一定距离的间隔起着字符间距和行距的作用。同时,这类模块使用的是专用于字符显示控制与驱动的IC芯片。字符型液晶显示驱动控制器广泛应用于字符型液晶显示模块上。目前最常用的字符型液晶显示驱动控制器是日本HITACHI公司的HD44780U,液晶显示驱动器为日本HITACHI公司的HD44100及其替代品。字符型液晶显示模块在世界上是比较通用的,而且接口格式也是比较统一的,其主要原因是各制造商所采用的模块控制器都是HD44780U及其兼容品,所以会使用一种字符型液晶显示模块,就会通晓所有的字符型液晶显示模块。本节将以使用HD44780U作为显示驱动控制芯片的字符型液晶显示模块为例,介绍字符点阵型液晶显示模块的电路特性、软件特性,重点介绍接口技术和应用软件。6.3.1HD44780U的特性考察液晶显示模块的电路特性主要就是考察其控制器的特性,在本节就是考察HD44780U的特性。HD44780U是HD44780的低电压电源改进型,它兼容HD44780的硬件及软件特性,也属于行列合一型内含字符发生器的驱动控制器,仅是在电源上它比HD44780有更宽的选择,它可以在2.7~5.5V范围内选择。原理框图1接口部HD44780U接口部是HD44780U与计算机的接口。它含有I/O缓冲器、指令寄存器和译码器、数据寄存器以及“忙”标志BF触发器等。(1)接口部的功能I/O缓冲器是接口部以及控制部中的寄存器和存储器与计算机连接的结合部。其作用在于将两个不同时钟下工作的系统连接起来,实现通讯。指令寄存器和译码器是将计算机发来的指令代码转译成控制逻辑信号,实现对控制器的设置。数据寄存器包括数据输入寄存器和数据输出寄存器用于暂存写入和读取的DDRAM和CGRAM的数据。HD44780U与计算机的“握手”为忙标志BF信号,它反映了控制器的内部运行状态。当BF=1时表示控制器内部正在处理计算机的指令或正在传输计算机送来的显示数据,不能接收计算机对控制器的写操作和读数据操作,此时控制器将封锁I/O缓冲器。BF=0时表示控制器已处理完计算机发来的信息,打开I/O缓冲器等待计算机的操作。计算机可以在任何时候在数据总线上DB7位读取控制器的BF标志,并且同时将地址指针计数器AC当前值在数据总线的DB6~DB0位上读出。地址指针计数器AC的输出中的一路直接输出给I/O缓冲器供计算机读取。(2)HD44780U与计算机的连接信号控制器与计算机的连接信号都在接口部中,它们是:DB7~DB4三态8位数据总线的高4位或4位数据总线DB3~DB0三态8位数据总线的低4位RS输入寄存器选择信号R/W输入读/写选择信号E输入读写使能信号可以看出:HD44780U的接口形式可以有两种。一种为8位数据总线形式,一种为4位数据总线形式。前者适应8位计算机的数据接口,而后者适应4位计算机的数据接口。由于控制器内部总线起8位形式,所以在8位数据总线形式下,数据总线DB7~DB0有效,数据及指令代码一次操作完成;在4位数据总线形式下,数据总线DB7~DB4有效,DB3~DB0呈高阻态无用,数据及指令代码要分两次操作完成,首先读/写高4位数据或指令代码,然后读/写低4位数据或指令代码。HD44780U的接口形式由计算机写入指令代码设置。(3)HD44780U的接口时序HD44780U的接口时序为M6800时序,其特点是读/写操作时序是由使能信号E完成,E信号是正脉冲信号,不操作时为低电平状态,操作时产生一个正脉冲:●在读操作时,E信号在高电平时,控制器将所需数据送入数据总线上,供计算机读取。●在写操作时,E信号的下降沿处将数据总线上的数据写入控制器接口部的寄存器内。●HD44780U对读/写操作的识别是判断R/W信号端上的电平状态,R/W=1为读操作选择,R/W=0为写操作选择。●R/W信号的宽度要大于E信号的宽度才能保证计算机的操作正确。●RS信号是HD44780U识别数据总线上的数据是属于指令代码还是属于显示数据。RS=0选通指令寄存器通道,数据总线传输的是指令代码或标志位;RS=1选通数据寄存器通道,数据总线传输的是显示数据或自定义字符的字模数据。HD44780U接口部的总线操作时序图如图6-22所示,其时序参数如表6-6和表6-7所示,电特性如表6-8所示。(a)写操作时序图(b)读操作时序图2驱动部HD44780U不仅具有液晶显示驱动电路,而且具有扩展驱动的能力。(1)驱动部的基本结构与驱动程式从HD44780U的原理框图可见,驱动部由并/串数据转换电路、16路行驱动器和16位移位寄存器、40路列驱动器和40位锁存器、40位移位寄存器以及液晶显示驱动信号输出和液晶显示驱动偏压等组成。在时序发生器所产生的显示时序的作用下HD44780U将DDRAM的字符代码数据和当前行扫描计数器的输出作为CGROM或CGRAM的地址指针选择其中相应的字模库单元,然后将该单元内的数据传输给并/串转换电路以转换成相应的串行数据格式输出,这就是HD44780U的驱动程式。(2)行、列驱动能力HD44780U的驱动器功能由以下输出/输入端实现:COM1~COMl6行驱动输出端SEG1~SEG40列驱动输出端VCC、V5组成行、列驱动选择电压波形的高电平与低电平V1、V4组成行驱动未选电压波形的高电平与低电平V2、V3组成列驱动未选电压波形的高电平与低电平HD44780U的行驱动是由驱动部控制的,不具备扩展能力。驱动部有16位移位寄存器和16路行驱动器。HD44780U行驱动占空比系数为1/8、1/11或1/16三种,可以通过计算机写入指令来设置。液晶显示驱动偏压有两种选择。在占空比为1/8或1/11时偏置电压为1/4偏压,在占空比为1/16时偏置电压为1/5偏压。V1~V5的关系如表6-10所示。解释由于DDRAM容量的有限(80×8位),HD44780U只能控制80个字符,每个字符为5点列,所以HD44780U的固定驱动输出能力为400路。之所以使用“固定”一词,是因为不管HD44780U控制及驱动多大的液晶显示器件,它都输出这么多位,多余的位在传输过程中被溢出。在一行显示方式下,不管是5×7或5×10点阵字符,一行所传输的数据位固定为400位。在两行显示方式下,DDRAM单元平分为每行为40个单元,所以驱动部传输的数据位固定为200位。驱动部具有40位数据锁存器、列驱动器和40路列驱动输出。它们负责DDRAM前8个单元的字符显示的驱动任务,其余的字符显示的驱动由驱动部显示数据输出端D输出给扩展的液晶显示驱动器如HD44100类完成。(3)列驱动扩展能力HD44780U的列驱动扩展能力是由40位移位寄存器的外输出端D和由时序发生器直接输出的显示时序脉冲信号CLl、CL2及M组合实现的。这些信号的定义如下:D串行列显示数据输出端CL2列数据位移时钟信号输出端CLl

列数据锁存时钟信号输出端M液晶显示交流驱动波形信号输出端这些信号的时序关系如图所示。(4)HD44780U与液晶显示器件的连接方式HD44780U与液晶显示器件连接方式如下列几幅图所示。图6-265×8点阵8×1字符显示模块结构图6-275×11点阵8×1字符显示模块结构以上两图所示的两种连接方式是HD44780U的最基本的用法。是一行字符结构的液晶显示器件连接方式。电路通过扩展驱动接口连接液晶显示驱动器就可以扩展成16×1、20×1、24×1以及40×1等多种形式。右图所示的是16×1字符型液晶显示模块最经济的连接结构,它是以两行显示方式连接一行结构的液晶显示器件,前8个字符的行驱动由控制器的行驱动输出COMl~COM8控制,后8个字符的行驱动由控制器的行驱动输出COM9~COMl6控制。第一行DDRAM的前8个单元控制着前8个显示字符,第二行DDRAM的前8个单元控制着后8个显示字符。图6-285×8点阵16×1字符显示模块结构上图所示的为两行字符结构的液晶显示器件连接方式。电路通过扩展驱动接口连接液晶显示驱动器就可以扩展成16×2、20×2、24×2以及40×2。图6-30给出了扩展方式的结构图。图6-295×8点阵8×2字符显示模块结构右图所示的为两行字符结构的液晶显示器件扩展连接方式。这是HD44780U的最典型的使用方法。图6-305×8点阵16×2~40×2字符显示模块结构右图所示的为四行字符结构的液晶显示器件连接方式。它是以两行显示方式连接四行结构的液晶显示器件,显示屏上第一行和第三行上的4个字符的行驱动由控制器的行驱动输出COMl~COM8控制,显示屏上第二行和第四行上的4个字符的行驱动由控制器的行驱动输出COM9~COMl6控制。第一行DDRAM的1到4单元控制着显示屏上第一行的4个显示字符,5到8单元控制着显示屏上第三行的4个显示字符;第二行DDRAM的1到4单元控制着显示屏上第二行的4个显示字符,5到8单元控制着显示屏上第四行的4个显示字符。电路通过扩展驱动接口连接液晶显示驱动器就可以扩展成16×4和20×4。图6-315×8点阵4×4字符显示模块结构6.4内置控制器型图形点阵型液晶显示模块的应用本节介绍图形点阵式液晶显示模块的结构、参数和基本特点,重点介绍:内置SED1520驱动控制器的图形点阵液晶显示模块的应用;内置T6963C显示控制器的图形点阵液晶显示模块的应用。6.4.1内置SED1520驱动控制器的图形点阵液晶显示模块的应用1.SED1520液晶显示驱动控制器SEDl520系列液晶显示驱动控制器是由EPSON公司设计、生产的。SEDl520系列属于行列驱动及控制合一的液晶显示驱动控制器。在这个系列中有六种型号,如表6-17所示。型

号特

点SEDl520F0A外接振荡因子可以启用内部振荡器,无片选SEDl520FAA只能外接时钟源,有片选信号SEDl521F0A仅为列驱动器(80列驱动输出),SEDl520的配套芯片SEDl521FAA仅为列驱动器(80列驱动输出),SEDl520的配套芯片SEDl520D同SEDl520F0A,仅封装不同SEDl521D同SEDl520FAA,仅封装不同SED1520F0A原理框图应用在液晶显示模块上最广的是SEDl520F0A2.内置SED1520图形液晶显示模块的电路特性内置SEDl520图形液晶显示模块的驱动控制系统是由两片SEDl520组成。所以内置SEDl520图形液晶显示模块的电路特性实际上是SEDl520的电路特性。由于在液晶显示模块上常用SEDl520F0A,所以下面按照控制器结构的“三部一集”来分析SEDl520F0A的工作原理。1接口部SEDl520的接口部主要作用是衔接计算机与片内功能寄存器和显示存储器数据通道的联系,实现计算机对SEDl520的控制。它包括I/O缓冲器、复位电路、指令译码器和状态字寄存器等。(1)接口部的功能I/O缓冲器是SEDl520内部各功能寄存器和显示存储器与计算机连接的结合部。其作用在于将两个不同时钟下工作的系统连接起来,实现通讯。I/O缓冲器直接与显示存储器的数据输入/输出缓冲器连接,以实现计算机对显示存储器的数据存取。为了使计算机操作与SEDl520内部操作在时序上匹配,所有计算机写入的数据(包括指令代码和显示数据)都在I/O缓冲器内被锁存,转换成由内部控制时序处理。计算机的读显示数据的操作是读取当前I/O缓冲器内当前锁存数据,因此在计算机读显示数据时,要有一个周期的延时“空读”。即在第一次读数据时读出的是当前I/O缓冲器内锁存的数据,即最近写入的指令代码,而不是所需的显示存储器单元的内容,而在这次的读操作周期,SEDl520将显示存储器单元的内容送入I/O缓冲器内锁存,在下一个读操作时读出的数据才是所需的显示数据。当计算机对显示存储器进行存取操作时,内部的存取时序由内部系统周期Tcyc决定,而不是计算机的存取时间。所以在计算机与SEDl520之间进行较快的时间传输时,如果计算机存取频率接近时,就需要在操作之间加入“NOP”指令。指令译码器是实现各种显示功能的逻辑控制电路,它接收计算机发来的指令代码,并将其转译成相应的逻辑电平去设置各功能寄存器和触发器。状态字寄存器提供了SEDl520与计算机的“握手”信号,它有4个状态位,表示着控制器当前的工作状态,尤其是忙标志(BUSY)位。计算机要想实现与SEDl520的通讯,必须首先读其状态字以判断当前SEDl520是否可以接收计算机发来的操作指令或显示数据。复位电路在接口部起着很重要的作用,其一对内部电路复位;其二设置与计算机的总线接口时序。复位电路由外引脚/RES控制。复位电路是边沿触发,当/RES从高电平变到低电平的过程或从低电平变到高电平的过程都触发复位电路复位,即上升沿和下降沿都可以触发复位。不过两种复位方式所对应的接口时序是不一样的:当复位脉冲为“”时,即在下降沿复位,之后保持高电平,SED1520的接口时序为M6800时序。当复位脉冲为“”时,即在上升沿复位,之后保持低电平,SED1520的接口时序为8080时序。复位后SEDl520内部状态如下:关显示状态时:显示起始行寄存器清零(即为第一行)正常工作状态时:列地址指针清零页面地址指针为3即为第4页面设置占空比为1/32设置ADC为正向排序改写方式无效(2)SED1520与计算机的连接信号SEDl520与计算机的接口信号为:DB0~DB7三态数据总线A0输入通道选择信号A0=1为数据通道,A0=0为指令通道/RES输入复位端和接口时序类型的设置端在系统需要硬件复位时,在/RES端产生一个沿信号。当内部电路复位后,RES端所保持的电平状态将确定SEDl520接口的时序类型:当/RES=1时,接口适配M6800系列时序,操作信号为E、R/W当RES=0时,接口适配8080系列时序,操作信号为/RD、/WR/RD(E)输入作为8080时序接口,该引脚为读信号/RD,低电平有效;作为M6800时序接口,该引脚为使能信号E。该信号为一个正脉冲,在下降沿处为写操作时,在高电平时为读操作。/WR(R/W)输入作为8080时序接口,该引脚为写信号/WR,低电平有效;作为M6800时序接口,该引脚为读/写选择信号R/W:R/W=1为读状态,R/W=0为写状态。SEDl520与计算机的接口时序可以通过在/RES端设置的电平来选择,可以适配8080时序,也可以适配M6800时序。这是SEDl520的灵活之处,但是SEDl520F0A没有片选端,因此限制了SEDl520在液晶显示模块上接口时序上的这种灵活选择。(3)SED1520在8080时序条件下的特性在8080时序下,SEDl520的接口操作信号为读操作信号/RD,写操作信号/WR。接口的8080时序图如图所示,其时序参数特性如表所示。SED1520的8080时序特性表注1:当VDD-VSS=3.0V时所有项目值均增加200%。注2:所有信号的上升时间和下降时间的最小值均为15ns。项

目符

号最小值最大值单位系统周期时间Tcyc1000nS地址建立时间Taw20nS地址保持时间Tah10nS控制脉冲宽度Tcc200nS数据建立时间Tds80nS数据保持时间Tdh10nS/RD取数时间Tacc90nS输出延时时间Toh1060nS(4)SED1520在M6800时序条件下的特性在M6800时序下,SEDl520的接口操作信号为读/写选择信号R/W、使能信号E。接口的M6800时序图如图所示,其时序参数特性如表所示。SED1520的M6800时序特性表

(Ta=﹣20~75℃VDD-VSS=4.5V~5.5V)

目符

号最小值最大值单位系统周期时间Tcyc1000nS地址建立时间Taw20nS地址保持时间Tah10nS数据建立时间Tds80nS数据保持时间Tdh10nS数据延时时间Toh1060nS数据取出时间Tacc90nS使能脉宽(读)Tew100nS使能脉宽(写)Tew8nS注1:Tcyc是E为高电平的周期时间,不是E的脉冲周期。注2:当VDD-VSS=3.0V时所有项目值均增加200%。注3:所有信号的上升时间和下降时间的最小值均为15ns。(5)SEDl520的直流特性(Ta=﹣20~75

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论