数字逻辑实验-计数器的设计与仿真_第1页
数字逻辑实验-计数器的设计与仿真_第2页
数字逻辑实验-计数器的设计与仿真_第3页
数字逻辑实验-计数器的设计与仿真_第4页
数字逻辑实验-计数器的设计与仿真_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验七计数器的设计与仿真一、实验内容1.调用系统中的74LS163芯片,对其进行波形仿真,验证其功能。2.用VHDL语言设计一个能够实现同样功能的电路(能同步清零、同步置数的4位二进制计数器)。3.修改代码,将这个计数器修改为模10计数器。二、电路功能介绍及仿真74LS163:同步清零同步置数的4位二进制计数器1、逻辑框图2、逻辑功能表3、调用系统中的74LS163芯片,对其进行波形仿真仿真波形图如下:4、4位二进制计数器(1)VHDL语言 libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_unsigned.all;entityerjinzhiisport(clk,clrn,ent,enp,ldn:inSTD_LOGIC;a:inSTD_LOGIC_VECTOR(3downto0);qi:outSTD_LOGIC_VECTOR(3downto0);rco:outSTD_LOGIC);enderjinzhi;architecturebhvoferjinzhiissignalcqi:STD_LOGIC_VECTOR(3downto0);beginprocess(clk,clrn,ent,enp,ldn)beginifclk'eventandclk='1'thenifclrn='0'thencqi<="0000";rco<='0';elsifldn='0'orent='0'orenp='0'thencqi<=cqi;rco<='0';elsifldn='1'andent='1'andenp='1'thenif(a="0000")thencqi<="0001";elsif(a="0001")thencqi<="0010";elsif(a="0010")thencqi<="0011";elsif(a="0011")thencqi<="0100";elsif(a="0100")thencqi<="0101";elsif(a="0101")thencqi<="0110";elsif(a="0110")thencqi<="0111";elsif(a="0111")thencqi<="1000";elsif(a="1000")thencqi<="1001";elsif(a="1001")thencqi<="1010";elsif(a="1010")thencqi<="1011";elsif(a="1011")thencqi<="1100";elsif(a="1100")thencqi<="1101";elsif(a="1101")thencqi<="1110";elsif(a="1110")thencqi<="1111";rco<='1';elsif(a="1111")thencqi<="0000";rco<='0';endif;endif;elsecqi<=cqi;endif;qi<=cqi;endprocess;endbhv;(2)仿真波形图5、模10计数器(1)VHDL语言LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK:INSTD_LOGIC;--时钟信号CLR:INSTD_LOGIC;--清零信号EN:INSTD_LOGIC;--计数使能信号CN:OUTSTD_LOGIC;--记数输出信号 COUNT10:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDCNT10;ARCHITECTUREARTOFCNT10ISSIGNALSCOUNT10:STD_LOGIC_VECTOR(3DOWNTO0);BEGINCOUNT10<=SCOUNT10;PROCESS(CLK,CLR,EN)BEGINIF(CLR='1')THENSCOUNT10<="0000";CN<='0';ELSIFRISING_EDGE(CLK)THENIF(EN='1')THENIFSCOUNT10="1001"THENCN<='1';SCOUNT10<="0000";ELSE

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论