级生医课程设计讲稿_第1页
级生医课程设计讲稿_第2页
级生医课程设计讲稿_第3页
级生医课程设计讲稿_第4页
级生医课程设计讲稿_第5页
已阅读5页,还剩36页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子技术课程设计

主带:一、课程设计目的培养独立完成一个课题或实际问题的能力。培养查阅资料文献手册的能力。熟悉元器件类型,掌握合理选取元件的规则。锻炼撰写一个小论文和设计报告的能力。培养科学的工作作风和严谨的态度。二、电子电路的设计方法1、明确设计任务和要求:包括一些具体的技术指标和要求达到的功能。2、达到目的的设计方案:提出原理方案;进行比较;选择一个合适的,作出原理框图。3、单元电路设计:各单元、环节的电路原理,实现方法,逻辑分析,进行参数计算、原器件选择。4、绘制原理总图。三、具体要求1、完成电路原理图一张,三号标准图纸。2、撰写设计说明书一份,不少于5000字。3、完成仿真实验、插装实验。课程设计任务数字电子钟简易数字频率计交通灯控制器智力竞赛抢答器数字电子钟要求准确显示“时”、“分”、“秒”,24小时制

00:00:00~23:59:59具有整点报时功能:在每小时59分51秒、53秒、55秒、57秒发出500HZ的低音,59秒时发出1000HZ的高音。具有校时功能:以1HZ频率对“时”、“分”进行校正,且互不影响。简易数字频率计用于测量数字信号的频率,测频范围0.1HZ到9999HZ。用开关控制频率计的启动和停止。测量范围可调,分为1秒和10秒两档。能连续循环测量显示。如:1秒计数测量;4秒显示结果;1秒清零。然后依次循环。交通灯控制器主干道支干道60秒一循环交通灯控制器主绿30S支红30S主黄5S支红5S主红20S支绿20S主红5S支黄5S主干道5203020555支干道3060秒一循环抢答器四人抢答器,每人一个抢答按钮,并显示抢答者台号。主持人功能:发出抢答指令,系统清零,预置限时时间。(30或60秒)电路具有时间显示功能和限时功能。在限时内,有人抢答,显示电路停止工作。若限时时间到,未有抢答,也停止工作。声响功能:当发出抢答信号或限时时间到,发出持续2~3秒的单音或双音音响以作提示。台号计时课程设计步骤骤选定设计题目目。四个题目目,每班平均均分配。(由由班长和课代代表分配)设计草图。按按自己题目每每人设计一张张。答疑,并检查查通过。仿真。每人按按自己草图仿仿真。插接线。同一一题目两人一一组,选仿真真通过的电路路图连接。设计图纸要求求设计草图图纸纸不作要求。。最终仿真、实实验证明正确确的设计图纸纸,为上交图图纸。(必须与设计报报告一致)3号图纸,有边边框,有标题题栏。(可每每班统一购买买),手绘图图。布局要合理,,元器件疏密密得当,图面面清晰,元器器件较重,引引脚要轻(不不能有斜线))。同一类型型元器件大小小要一致。设计图纸要求求信号线走向一一致。(如从从左到右,从从上到下传递递)图形符号符合合标准,加适适当标注,区区别同一类元元件。(尤其其是电阻电容容的大小)连线,横平竖竖直,粗细均均匀。所有电路图用用书中原理图图绘制。电路图准确,,完整,美观观。设计图纸,即即使相同方案案,在布局上上应有改变,,图纸效果应应不同。说明书要求河科大作业专专用纸,手写写。标准封面。((设计题目,,姓名,班级级)整体设计说明明书,10页以上,15页以下。具体体设计内容不不得少于8页。设计说明书不不得雷同。否否则没有成绩绩,或者重做做。单元电路路的设计,要要用自己的语语言表达,能能体现自己的的设计思路。。字迹工整,态态度认真,语语言严谨。说明书内容①设计题目。((封面,统一一发放)②设计任务,,即具体要求求。(统一发发放的任务书书)③结合任务要要求,提出解解决方案,比比较、选择,,给出总体框框图,并对各各模块功能进进行说明。④单元电路设计计:语言描述述清楚,逻辑辑关系分析正正确,参数计计算无误,器器件选择合适适。⑤结合整体电电路图,说明明整机工作原原理,说明透透彻,控制信信号准确。说明书内容⑥设计、仿真、、插装实验中中遇到的问题题,分析、解解决方法。⑦本方案的特特点,优缺点点,改进的地地方。⑧通过设计,,收获、体会会。⑨列出所用原原器件,主要要器件功能说说明。⑩列出主要参参考文献。成绩评定EDA仿真:一般按出准准确结果时间间给分。插接线操作:分步给分,,准确做出满满分。说明书:要求原理正正确,态度认认真。原理图图纸:原理,布局局,标注。包括设计态度度:无草图不能作作设计;进实实验室衣着整整齐;遵守时时间及实验室室规定;规范范操作,严谨谨务实等。15周五下午:安排课程设设计;16周四下午和周周五全天答疑(工2-106),下午交草草图;16周日:农电091仿真;17周一:农电092仿真;17周二:生医091仿真;17周三:生医092仿真;17周四:生医093仿真。地址:开元工2-326房间。17周三:农电091、092班插线;17周四:生医091、092班插线;17周五:生医093班插线。地址:开元工2-315、317房间。18周四下午:交原理图和和设计说明书书。时间安排时基电路8431267uo+5V注意写分析计计算过程,取用电阻电容容大小。1.怎样产生1KHZ脉冲?该图为书中1HZ电路T=T1+T2=(R1+2R2)Cln2多谢振荡器Q3Q2Q1Q0Q3Q2Q1Q0EPETEPET7416074160分频电路1KHZcQ3Q2Q1Q0EPET741601HZcc500HZ2分频100分频10HZ1000分频2.怎样产产生500HZ、10HZ、1HZ脉冲??计数器器EPETEPET7416074160cLDQ3Q2Q1Q0Q3Q2Q1Q013.怎样产产生任任意进进制计计数器器?采用并并行进进位、、串行行进位位、置置数法法、置置零法法均可可。秒脉冲冲译码显显示电电路Q3Q2Q1Q0A3A2A1A0abcdefgQ3Q2Q1Q0A3A2A1A0YaYbYcYdYeYfYgVCC7448BS2017448BS201画原理理图时时,请请按照照书本本标准准接线线。数字钟钟总体体设计计方案案(1)60进制计数器器60进制计数器器24进制计数器器校时电路校分电路时基电路分频电路时显示示分显示示秒显示示译码电电路译码电电路译码电电路报时电路秒脉冲冲高音低音1HZCLK数字钟钟校时时电路路校时开开关进位信信号1HZ进位信信号Y=MA+M’’B若全部部用与与非门门实现现VCC秒脉冲冲注意选择器器件时时,尽尽量选用常常用元元器件件。该电路路也可可以作作为其其他换换档电路。。如频频率计计的1S(10S),抢答器器的30S(60S).数字钟钟整点点报时时电路路分电路路秒电路路Q0Q1Q2Q3Q0Q1Q2Q3Q0Q1Q2Q3Q0Q1Q2Q35951KHZ(高音音)500HZ(低低音音))喇叭91/3/5/7注意意该图图为为原原理理图图,,选选择择器器件件时时,,应化化简简为为常常用用元元器器件件。。抢答答器器总总体体设设计计方方案案(1)30(60)进进制制计数数器器限限时时电电路路四路抢答电路显示示台号号锁闭闭电路路短音音提示示电路路主持持人人1234清零零抢答答及及锁锁闭闭电电路路DDDD主持持人人RD显示台号电路去控控制制限限时时和和短短音音提提示示电电路路有人人抢抢答答为为0无人人抢抢答答为为11234清零零Q4Q3Q2Q1显示示台台号号电电路路Q1Q2Q3Q41000010000100001A3A2A1A00001001000110100D触发发器器输输出出显显示示译译码码器器输输入入Q4Q3Q2Q1A3A2A1A07448BS201abcdeegA0=Q1+Q3A1=Q2+Q3A2=Q4A3=030(60)秒限时时电路主持人清零Q3Q2Q1Q0Q3Q2Q1Q0RDEPETEPET11有人抢答答为0无人抢答答为1去控制短短音提示示电路7416074160限时到1HZ有人抢答答或者无无人抢答答计满30(60)停止计计数功能换挡开关3060短音(5S)提示声声响电路路有人抢答答为0无人抢答答为1限时时间间到为0Q3Q2Q1Q0EPET1KHZ7416011HZ喇叭有人抢答答或者限时时间间到3秒提示音音。功能数字频率率计总体体设计方方案(1)10000进制计数数器被测信号整形电路门控电路CLK主控电路路六进制计计数器1S清零1S计数译码显示示时基电路分频电路秒脉冲(施密特特整形电电路)1秒计数4秒显示结结果1秒清零EPET7416010作为门控控信号作为清零零信号Q3Q2Q1Q0主控6进制电路路(1)1HZ1000001010011100101DDDDDDQ11HZ预置数100000作为门控控信号作为清零信号Q11234561S1S4S主控6进制电路路(2)010000001000000100000010000001状态万进制计计数器门控信号号1S待测信号号个数1秒计数控控制门控电路路待测信号CLK清零信号门控信号交通灯控制器器总体设计方方案(1)4进制状态控制制主干道100进制支干道100进制绿灯30秒后清零黄灯5秒后清零红灯25秒后清零绿灯20秒后清零黄灯5秒后清零红灯35秒后清零秒脉冲交通灯显示电路(时基、译码码显示电路省省略)灯控制时间,,时间控制状态态,状态控制灯显显示。交通灯主干道道控制EPETEPET7416074160主绿30S主黄5Sc主红25S(支黄5S后)RDQ3Q2Q1Q0Q3Q2Q1Q0RDCLK1HZ状态控制Q3Q2Q1Q0EPETEPET7416074160支绿20S支黄5Sc支红

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论