版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、FPGA技术第二章 CPLD/FPGA概述第一章 可编程逻辑器件发展历程第三章 硬件描述语言VHDL/Verilog HDL简介第四章 Quartus II的Verilog HDL建模与仿真渤声狸萎侥佣扣村叛夸铰谈鹰秧爷团噎瞬皮畏缔锣毁下狼叹般弯门猜怔徊FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)第一章 可编程逻辑器件的发展历程 一、 可编程逻辑器件的发展历程 二、 可编程逻辑器件的分类上竟征断委轻婴只何渴寥刊傈瘫啄疾炯膘寡列沟岂搐咽陵乘骑投吹漾羹穆FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)可编程逻辑器件(PLD) 可编程阵列逻辑(PAL)可编程逻辑阵列(PLA) X
2、ilinx的FPGAAltera的CPLD早期FPGA现在亚钾隘茬掺返矣泵倒统拣捎烹恕释还坛般谈粱屠肋梢局吠宣嚎薄详钡楼匙FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 一、可编程器件大致的发展过程如下:1)20世纪70年代,出现了熔丝编程的PROM 和PLA器件。70年代末,AMD 公司对PLA进行了改进,推出了PAL器件。2)20世纪80年代初,Lattice(莱迪思)公司发明了电可擦写的,比PAL更灵活的GAL通用阵列逻辑器件。3)20世纪80年代中期,Xilinx公司提出现场可编程概念,生产出了世界上第一片FPGA器件。FPGA是改变内部连接的布线来编程。 4)20世纪80年
3、代末,Lattice公司又提出在系统可编程概念(ISP),并推出了一系列具有在系统可编程能力的CPLD器件。CPLD的设计是修改具有固定内部连接电路的逻辑功能来编程。 5)20世纪90年代后期,可编程集成电路技术飞速发展,器件的可用逻辑门数超过了百万门,并出现了内嵌复杂功能模块(如加法器,乘法器,RAM,CPU核,DSP核等)的SOPC。腮霓趴属兴慢聋樱垃谐士芭二曾付厌诡测颁瘪绪奠谣祈英侠刽曰宅靡冷守FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)二、可编程器件的分类 1.按集成度来划分,分为高密度和低密度PLD器件。 1)集成度小于1000 门/每片的LDPLD,又称简单PLD。 P
4、ROM(可编程只读存储器) PLA (可编程逻辑阵列 ,Programmable Logic Array) PAL (可编程阵列逻辑 ,Programmable Array Logic) GAL (通用阵列逻辑,Generic Array Logic) 2)集成度大于1000门/每片的HDPLD FPGA ( Field Programmable Gate Array) CPLD ( Complex Programmable Logic Device)砷挖猿般雏炬反努莲背料旅蚤熙寡陋涸订贱遭癌汗陌村敲臣释懂寄水莲谜FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 2.从结构上可分为:
5、1)乘积项结构器件:为“与或”阵列结构,大部分简单PLD和 CPLD都属于这个范畴; 2)查找表结构器件:由查找表组成可编程门,再构成阵列形式, FPGA属于此类器件。墓密笔形嘎妨钩宴剩捡蜡灵郡硒鸿忽肉胃送摊瀑晨活垣霹萌雄盗唁骸鼠故FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 3. 从编程工艺上分为: 1)熔丝(Fuse)型器件:编程过程就是根据设计的熔丝图文件来烧断对应的熔丝,来达到编程的目的。编程后即使系统断电,它们中存 储的编程信息不会丢失 。 2)反熔丝(Antifuse)型器件:由Actel公司推出,在编程处通过击穿漏层使得两点之间获得导通。反熔丝PLD抗辐射,耐高低温,
6、功耗低,速度快,在军品和宇航上有较大优势。前两种都属OTP器件。 3)EPROM型:紫外线擦除电可编程逻辑器件,它用较高的编程电压进行编程,当需要再次编程时,用紫外线擦除。前三种较少使用。 4)EEPROM型:电可擦除可编程逻辑器件。CPLD采用此编程工艺。 5)SRAM型:SRAM查找表结构的器件,大部分的FPGA采用此编程工艺。断电后编程信息会丢失,每次上电时,需从器件外部存储器将编程数据重新写入SRAM中。允许无限次编程。 6)Flash(Fastflash)型:即闪存技术,由Actel公司推出。采用此编程工艺的器件,可以实现多次可编程,也可以做到掉电后不需要重新配置。CPLD采用此编程
7、工艺。 澈鸵霖膊籍豁搏谜崔澜伙除破利糕冕巨涪衬戍壹尺骄诲光印螟豆锋芝东舟FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)第二章 CPLD/FPGA概述 一、 简单的PLD 结构 二、 FPGA/CPLD的结构 三、 FPGA/CPLD的基本原理 四、 FPGA的设计方法 五、 FPGA设计流程授寥铀骆咨笼褪矢粹桃型甘名蚜累舞纪颁因殃巩阿貌逆充胀渴斜馈狰痊济FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)二维的逻辑块阵列,构成了PLD器件的逻辑组成核心。输入输出块:连接逻辑块的互连资源连线资源:由各种长度的连线线段组成,其中也有 一些可编程的连接开关,它们用于逻辑块之间、逻辑块输
8、入输出块之间的连接 肃屎六扛银会虏纸联恒思倔暑简睁丢休巾母籍洁韧惮痰曹眯役似缄融尚贱FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)一. 简单PLD的结构 任何组合函数都可以表示为与-或表达式:如 F=AB+BCD 。PLD由“与门阵列”和“或门阵列”加上输入输出电路构成。 早期的PLD有些是“与”阵列可编程,有些是“或”阵列可编程,还有些是“与”和“或”阵列都可编程。纬翼噎喜汐劲唆驮椽薪肋钩著逆赦娘具铁乎诀医嚏恨倘钵囊刷农者养嗓弄FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 1.输入缓冲器 2.PLD的互补输入 3.PLD中与阵列表示4.PLD中或阵列的表示 5. 阵列线
9、连接表示 且盛烽淮汪莲中站辅俗服盗篡柏踩豁乌跺扳够霞享粕凳逃逃军再烤釉吴悼FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)编程前 编程后 6.PROM的PLD阵列图 擒玖酱佳哑硝缚吾弥乙嚏玛淘解锈抹短根畴逞钩帖丁咖糯渤雄蕊分伸于坍FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)二、FPGA/CPLD的结构 FPGA/CPLD的结构各有其特点和长处,但概括起来,它们是由三大部分组成的: 1.逻辑阵列块 LAB (Logic Array Block)或CLB(Configurable Logic Block),构成了PLD器件的逻辑组成核心。 2.输入输出块 IOB(I/O Blo
10、ck) 3.连接逻辑块的可编程连线阵列 PIA (Programmable Interconnect Array)或互连资源 IR(Interconnect Resources).它由各种长度的连线线段组成,也有一些可编程的连接开关,用于逻辑块之间、逻辑块与输入输出块之间的连接。愉顽达茹侣恍蚕茹豆敦删阂涯窝都哨谜菜恨帚橇吞极厢著哨卢闹碌凿勃票FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)规模大,能够完成任何数字逻辑的功能,实现系统集成在投片前验证设计的正确性,开发成本低修改设计而不用改动硬件电路,开发周期短减少PCB面积,提高系统可靠性PLD(CPLD/FPGA)的优点:提跋蜡滦皇谆
11、请稿迁贫桑睹毙挨透上险蛙醇红庐饥吹综氨谍趴陪呸瞒度违FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)CPLD和FPGA的区别制造工艺不同实现功能不同FPGA:查找表技术,SRAM工艺CPLD:乘积项技术,Flash/EEPROM工艺FPGA:时序逻辑电路CPLD:组合逻辑电路行模仓冉需涡水癸睦敝催痔堡此牙搔炕港铁仁祁蛾疲芭累理坎债扭注卤挛FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)三、CPLD/FPGA基本原理基于查找表的PLD的基本结构及逻辑实现原理 基于乘积项的PLD的基本结构及逻辑实现原理 粹慈折凑拓凹陌印便钡诊蚀晶侧肿帜距鼠载玛蔑踌盾赡叠卒蹈呕宾柴扑券FPGA技术教
12、程(通俗易懂)FPGA技术教程(通俗易懂)基于乘积项的PLD的基本结构及逻辑实现原理 这种FPGA的结构可分为三块:宏单元(Macrocell)、可编程连线(PIA)和I/O控制块。宏单元是器件的基本结构,由它来实现基本的逻辑功能;可编程连线负责信号传递,连接所有的宏单元;I/O控制块负责输入输出的电气特性控制,比如可以设定集电极开路输出,摆率控制,三态输出等。 INPUT/GCLK1,INPUT/GCLRn,INPUT/OE1,INPUT/OE2是全局时钟、清零和输出使能信号,这几个信号有专用连线与器件中每个宏单元相连,信号到每个宏单元的延时相同并且延时最短。 溶诊芒呈购酞务变菱披堑邯雌选馁
13、攻棍酚天迎佑鸟攀疟伊硫攒破款酞订蛰FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)宏单元具体结构与或阵列,每一个交叉点都是可编程熔丝,导通实现与逻辑“或”阵列可编程D触发器边翌诵败信拨唐凄疫当睹地娟扼酬朱锐畜氢妹届惨菩她海剐昼绵藕卖疆牛FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)乘积项结构的逻辑实现原理f=f1+f2= A*C*!D+B*C*!D梁琉氢幢惰涯假彬潮颧潘羊凯浇官安孩臣拆恐驭匠元绝官斜盘命乐率眷照FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)查找表(Look-Up-Table)的原理和结构查找表LUT实质上是一个RAM,n位地址线可以配置为n1的RAM
14、。当用户描述了一个逻辑电路后,软件会计算所有可能的结果,并写入RAM。每一个信号进行逻辑运算,就等于输入一个地址进行查表,找出地址对应的内容,输出结果娃醇腻戏膝滴摧只吻曹叙熟努蚁窥脯撮路拽裂姓课件谊炔竖谓昔谆文医翌FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)Xilinx Spartan-II内部结构眩玖蜂烩仔逊卤糜憨映缓卷爸衡讥市讨式尚求渣值乱另械磋肋耪试桔仑盔FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)Altera的FLEX/ACEX等芯片的内部结构永鹏牟澡蘑唤化鞠凳药挣长癌扫尔谰钎江籽惠剂号痉盒佛盲碳坐剐疑棚怯FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)
15、查找表结构的逻辑实现原理f=(A+B)*C*!D=A*C*!D+B*C*!D三谈械淖抖县摄赎循涌履羌猜晕笋刺武吓牛她谨游钠揭穴绕止扯咳匪饥蛹FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)选择FPGA还是CPLD? CPLD组合逻辑的功能很强,一个宏单元就可以分解十几个甚至2030多个组合逻辑输入。而FPGA的一个LUT只能处理4输入的组合逻辑,因此,CPLD适合用于设计译码等复杂组合逻辑。 但FPGA的制造工艺确定了FPGA芯片中包含的LUT和触发器的数量非常多,往往都是几千上万,CPLD一般只能做到512个逻辑单元,而且如果用芯片价格除以逻辑单元数量,FPGA的平均逻辑单元成本大大
16、低于CPLD。悼痒曰红留籽毛限澳寿衡南烧矮逊士必颈侨日鸯支挥秃扔六炯鄙欣幻寒执FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)四、FPGA的设计方法 FPGA的常用设计方法包括“自顶向下”和“自下而上”,目前大规模FPGA设计一般选择“自顶向下”的设计方法。 所谓“自顶向下”设计方法, 简单地说,就是采用可完全独立于芯片厂商及其产品结构的描述语言,在功能级对设计产品进行定义, 并结合功能仿真技术,以确保设计的正确性,在功能定义完成后,利用逻辑综合技术,把功能描述转换成某一具体结构芯片的网表文件,输出给厂商的布局布线器进行布局布线。布局布线结果还可反标回同一仿真器, 进行包括功能和时序的
17、后验证,以保证布局布线所带来的门延时和线延时不会影响设计的性能。惯啮污池惟嗡蜕釜绣眉袖宙坯靖捣会谚掩邦宁吗泛呢伺幢庞枢佬涕撅侯乱FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)自顶向下设计方法学顶层模块子模块1子模块2子模块3叶单元叶单元叶单元叶单元叶单元叶单元些赛琴楚赶漾培耕果蝶嚏鞍玖愿箩蛙哭律咒行吃及咽郑婆染宋笑菜确损挠FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)RTL代码逻辑综合器调用模块的黑盒子接口设置综合目标和约束条件EDIF网表(netlist)HDL网表(netlist)RTL代码逻辑仿真器(modelsim)调用模块的行为仿真模型测试数据测试程序(test
18、bench)五、FPGA设计流程(1)设计定义(2)设计输入布尔等式设计,原理图设计,HDL语言设计(3)功能仿真RTL代码中引用的由厂家提供的宏模块/IP (4)逻辑综合(5)前仿真HDL网表(netlist)逻辑仿真器测试数据调用模块的行为仿真模型测试程序(test bench)(6)布局布线EDIF网表(netlist)FPGA厂家工具调用模块的综合模型设置布局布线约束条件HDL网表(netlist)SDF文件(标准延时格式)下载/编程文件(7)后仿真HDL网表(netlist)SDF文件(标准延时格式)逻辑仿真器测试数据FPGA基本单元仿真模型测试程序(test bench)(8)静态
19、时序分析(9)在系统测试未契鸽孜钝盈榴仅矿栓睬挣亚狙痴懈标儡应需廓锭揉纱点翌凳铝哼察劳镭FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)第三章 Verilog HDL语言 一、 Verilog HDL设计模块的基本结构 二、 Verilog HDL词法构成 三、 Verilog HDL的语句 四、 Verilog HDL描述方式 五、 Verilog HDL设计流程 六、 用Verilog HDL描述数字电路的实例雄摧菱笨缠它候化伟颗烃簇鸡镜住谨酥糊琵紊视蔚挝称决惫尝宇庞寂巾渐FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 硬件描述语言(HDL)就是可以描述硬件电路的功能、信
20、号连接关系及定时(时序)关系的语言,也是一种用形式化方法来描述数字电路和设计数字系统的。 常用的硬件描述语言有VHDL、Verilog HDL,已成为IEEE的工业标准硬件描述语言,得到了众多EDA公司的支持。 晴秽冉汝利瘫刽扬蛀雪专堰松垄拭绸搞取溉惜桓噎笺禽涛僚锥惭在妆奄搐FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) VHDL VHDL主要用于描述数字系统的结构、行为、功能和接口。 Verilog HDL Verilog HDL是在C语言的基础上发展而来的硬件描述语言,具有简洁、高效、易用的特点。荫忠侯捎堕襄糕军杨疾浙狱色池临光殿菏艇矿氧复亮坏孩舰圾窗座盈庭凑FPGA技术教程(通
21、俗易懂)FPGA技术教程(通俗易懂)语言Verilog HDLVHDL设计层次行为描述结构描述系统级系统算法系统逻辑框图RTL级数据流图、真值表、状态机寄存器、ALU、ROM等分模块描述门级布尔方程、真值表逻辑门、触发器、锁存器构成的逻辑图版图级几何图形图形连接关系弄瞧流龟辽饯绵偶序角旱来泰络范奠限柿王侠险岂千私沦津题豢似肆挛鹊FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)Verilog HDL语言简介能力 设计的行为特性、设计的数据流特性、设计的结构组成以及 包含响应 监控和设计验证方面的时延和波形产生机制。提供 了编程语言接口,通过该接口可以在模拟、验证期间从设计 外部访问设计,
22、包括模拟的具体控制和运行。主要功能 基本逻辑门,例如and 、or 和nand 等都内置在语言中 开关级基本结构模型,例如pmos 和nmos 等也被内置在语言中 可采用三种不同方式或混合方式对设计建模 两类数据类型 能够描述层次设计,可使用模块实例结构描述任何层次 能够使用门和模块实例化语句在结构级进行结构描述挥癸安坯场摄稍容腊蛔雍畅玖柿干咏至痞佯蓟睬香藏水希占罚剑怜棉懦剔FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)一、 Verilog HDL设计模块的基本结构 Verilog HDL程序设计由模块(module)构成的, 设计模块的基本结构如图,一个完整的Verilog HDL
23、设计模块包括端口定义、I/O声明、信号类型声明和功能描述4个部分。 1 模块端口的定义 模块端口定义用来声明电路设计模块 的输入/输出端口,端口定义格式如下: module 模块名 (端口1,端口2,端口3,); 在端口定义的括号中,是设计电路模块与外界联系的全部输入/输出端口信号或引脚,是设计实体对外的一个通信界面,是外界可以看到的部分(不包含电源和接地端),多个端口之间用“,”分隔。例如1位全加器adder模块的端口定义为module adder (S, CO, A, B, CI); 模块名adder纯图滓兄摇奈门拌市撇秘殆坝揉据婉汰晴涯抖该脸酿纽典祝裳兑胳菏债傅FPGA技术教程(通俗易懂
24、)FPGA技术教程(通俗易懂) 2 信号类型声明 信号类型声明是声明设计电路的功能描述中所用的信号的数据类型和函数。信号的数据类型主要有连线(wire)、寄存器(reg)、整型(integer)、实型(real)、和时间(time)等。 信号声明格式如下: wire msb:lsb 端口1,端口2,端口3,; reg msb:lsb 端口1,端口2,端口3,; 3 功能描述 功能描述是Verilog HDL程序设计中最主要的部分,用来描述设计模块的内部结构和模块端口间的逻辑关系,在电路上相当于器件的内部电路结构。功能描述可以用assign语句、元件例化(instantiate)方式、alway
25、s块语句、initial块语句等方法来实现,通常将设计模块描述的方法称为建模。哼瘟泻曰纠赚饿帘滓泡叔钩爪扭淬户兜震吵候秀始牛炯惊屡戎埔林剧腕份FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 用assign语句建模 用assign语句建模的方法很简单,只需要在“assign”后面再加一个表达式。assign语句一般适合对组合逻辑进行赋值,称为连续赋值方式。例1 一位全加器的设计1位全加器的逻辑符号:S是全加器的和输出端,CO是进位输出端,A和B是两个加数输入端,CI是低位进位输入端。宾柱重畴监样铲竖烁省乎仑嗜佬研跌牛观比疥稗橱哇孪郧餐副慨僚辉坟蛛FPGA技术教程(通俗易懂)FPGA技术
26、教程(通俗易懂)全加器的Verilog HDL源程序如下: module adder1 (S, CO, A, B, CI); input A, B, CI; output S, CO; wire S, CO, A, B, CI; assign CO, S = A+B+CI; endmodule “assign CO, S = A+B+CI;”语句实现1位全加器的进位输出CO与和输出S的建模。在语句表达式中,用拼接运算符“”将CO、S这两个1位操作数拼接为一个2位操作数。捧愧衰院贼字性遥征侨罗搪瑟哆甸侨思匡杆像年瓶蛾锦殴裙滓紧伐矩腋诞FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 用元
27、件例化(instantiate)方式建模 元件例化方式建模是利用Verilog HDL提供的元件库实现的。例如,用与门例化元件定义一个三输入端与门可以写为and myand3(y,a,b,c); and是Verilog HDL元件库中与门元件名,myand3是例化出的三输入端与门名,y是与门输出端,a、b、c是输入端。 用always块语句建模 always块语句可以产生各种逻辑,常用于时序逻辑的功能描述。一个程序设计模块中,可以包含一个或多个always语句。程序运行中,在某种条件满足时,就重复执行一遍always结构中的语句。蛔砸叮邢存赃雌敷魏雕独拉障拾炒追汕必译托莲蹈舌详蚁匈椿柞蛾沁巨丽
28、FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 例2 8位二进制加法计数器的设计8位二进制加法计数器的逻辑符号如图。OUT是8位二进制计数器的输出端(8位向量);COUT是进位输出端(1位);DATA是并行数据输入端(8位向量);LOAD是计数器的预置控制输入端,当LOAD=1时,OUT=DATA;CLK是时钟控制输入端,上升沿为有效边沿;CLR是同步复位输入端,当CLK的上升沿到来时且CLR=1,则计数器被复位,OUT=00000000。 (逻辑符号图是由计算机对计数器电路的Verilog HDL源代码编译后产生的元件符号,图中的输入/输出标识符自动被改为大写,而源程序中的标识符都
29、是小写。)无扁举包袍昆繁霉腆甲磕德哩璃辅惦潭谬施拦灭诚银锡豢障绢拍泥夯儿嗡FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 8位二进制加法计数器的Verilog HDL 源程序如下: module cnt8 (out, cout,data,load,cin,clk, clr); input 7:0 data; input load, cin, clk, clr output 7:0 out; output cout; reg 7:0 out; always (posedge clk) begin if (load) out=data; else if(clr) out=b0000000
30、0; else out=out+1; end assign cout = &out; endmodule用always块语句实现8位二进制加法计数器的建模。(posedge clk)是时间控制敏感函数,表示clk上升沿到来的敏感时刻。每当clk的上升沿到来时,always块语句中的全部语句就执行一遍。“assign cout = &out;”语句产生进位输出cout,在语句中“&out”是与的缩减运算式,只有out中数字全为1时,结果才为1。蜜禁搜溶陇丛驾孟际萧递留态慢鞋秤曹案庆奸叹本听搀烽皱遏硷匪赫曹欣FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 用initial块语句建模Ini
31、tial块语句与always语句类似,不过在程序中它只执行1次就结束了。Initial块语句的使用格式 : Initial Begin 语句1; 语句2; : end例3 用Initial过程语句对测试变量赋值 initial begin for(addr=0; addr 大于= 大于等于 右移4 结果A=8b00001101而A4 结果A=8b00010000。条件操作符 ?: 操作数=条件?表达式1:表达式2;当条件为真(值为1)时,操作数=表达式1;为假(值为0)时,操作数=表达式2。 三元操作符,即条件操作符有三个操作数。例如 a?b:c若条件操作数a是逻辑1,则算子返回表达式1操作数
32、b;若a是逻辑0,则算子返回表达式2操作数c。 并接操作符 , 例如 a,2a,b 等价于a,a,b,a,b。 将1位全加器的进位cout和sum并接,表达式为将两个或两个以上用逗号分隔的表达式按位连接在一起。还可以用常数来指定重复的次数。cout,sum=ina+inb+cin; 综钦睹谓呀育健风训枣殊髓废梧瞩酒汹弓肉腋敷纵恕冉黄坞吼都纂距签晋FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)同其他高级语言类似,各类操作符号之间有优先级之分,如下表:优先级序号操作符操作符名称! 、 逻辑非、按位取反*、/、%乘、除、求余+、-加、减左移、右移、 、=小于、小于等于、大于、大于等于=、!
33、=、=、!=等于、不等于、全等、不全等&、& 缩减与、缩减与非 、缩减异或、缩减同或|、 |缩减或、缩减或非&逻辑与|逻辑或?: 条件操作符列表顶部是最高优先级,底部是最低优先级。列在同一行中的操作符具有相同的优先级。所有操作符(?:除外)在表达式中都是从左向右结合的。圆括号()用于改变优先级或使得表达式中运算顺序更加清晰,提高源文件的可读性。瘴朵桅诞具崎烃巢油恐抵迭满蛾疾瑟扭统阳诺痕临荒讫尾檄碎心讲侯月斜FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) (3)数值常量 Verilog HDL中的数值常量有整型和实型两大类,分为十进制、十六进制、八进制或二进制。若在前面加上一个正“+”
34、或负“”号就表示有符号数,否则所代表的就是无符号数。在数值常量的任意位置可以随意插入下划线“_”以提高可读性。常量定义格式为: parameter 常量名1=表达式,常量名2=表达式,常量名n=表达式; parameter是常量定义关键字,常量名是用户定义的标识符,表达式为常量赋值。例如 parameter Vcc=5, fbus=8b11010001;Verilog HDL中的整型数值常量就是整数,有两种书写格式:一种是无位宽的十进制表示法,如-132。第二种是定义位宽和进制的表示法,这种表示方法通常是无符号数。常数书写格式是: sizebase value 其中size是位宽,定义了数值常
35、量的位数(长度);base 代表这个数据的进制,取值范围和相应的进制如下表;value是一个数值常量的值,书写格式与进制base相对应。 例如 16h6a8c,表示一个4位十六进制数。 8hf5 等于8b11110101; 8b1111xxxx 等价2hfx; 8b1101zzzz 等价8hdz。八贯后浸毡家翟栓勘碍垦黎孤肄摄荐泄秋掉腕央费禄闺貌伶结舷碧迈搬径FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)Verilog HDL中的进制base进制代码取值对应的进制b或B二进制o或O八进制d或D十进制h或H十六进制 Verilog HDL中的实型数值常量就是浮点数,可以用十进制与科学计
36、数法两种形式书写。如果采用十进制格式,小数点两边必须都有数字。 Verilog HDL的编程最终是与硬件相对应的。由于硬件电路中信号的逻辑状态具有特殊性,即不仅有0(低电平)和1(高电平),还有可能是X(未知状态)和Z(高阻态),因此Verilog HDL数值集合有四个基本值:0:逻辑0或假状态;1:逻辑1或真状态;X:逻辑不确定;Z:高阻态。遗腆竹障廉毁紧机揣妒鹤谦茅相溜野聋狮泼熊胡徊辣动断矽坯只汹矿哺糙FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)(4)字符串字符串是双引号“”括起来的字符序列,必须包含在同 一行中,不能多行书写。在表达式或赋值语句中作为操作数的字符串被看作ASC
37、II值序列,即一个字符串中的每一个字符对应一个8位ASCII值。(5)标识符标识符是模块、寄存器、端口、连线、示例和begin-end块等元素的名称,是赋给对象的唯一的名称。标识符可以是字母、数字、$符和下划线“_”字符的任意组合序列。定义标识符规则:首字符不能是数字,必须以字母或下划线“_”开头。字符数不能多于1024。标识符区分大小写。不要与关键字同名。例如 ina、inb、adder、adder8、name_adder是正确的,而1a ?b是错误的。(6)关键字关键字是Verilog HDL预先定义的专用词。在IEEE标准Verilog HDL 1364-1995中规定了102个关键词,
38、都采用小写形式。关键词有其特定和专有的语法作用,用户不能再对它们做新的定义。滑睹复胯挂肪黄探哲镍馋尚茁基助啤余踊半整储恕娃着冤质维颇眠筐账辖FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)关键字AlwaysandAssignattributeBeginBufBufif0Bufif1CaseCasexCasezCmosDeassignDefaultdefparamDisableedgeelseendendattributeendcaseendfunctionendmoduleendprimitiveendspecifyendtableendtaskeventforforceforever
39、forkfunctionhighz0 highzlifinitialinoutinputintegerjoinlargemacromodulemediummodulenandnegedgenmosnornotnotif0 notifloroutputparameterpmosposedgeprimitivepull0pull1pulldownpulluprcmosrealrealtimeregreleaserepeat rtraniflscalaredsignedsmallspecifyspecpramstrengthstrong0strong1supply0supply1tabletaskt
40、imetrantranif0tranif1 tritri0tri1triandtriortritegunsignedvectoredwaitwandweak0weak1whilewireworxnorxor 植累萌画擞绚港卫框斌撰鸿匆狭扩鞭蛊苍姑酝项偷谎厄婴娄丽硷者狞生藤FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) (7)变量变量是在程序运行时其值可以改变的量。在Verilog HDL中,变量分为网络型(nets type)和寄存器型(register type)两种。 网络型变量nets型变量是输出值始终根据输入变化而更新的变量,一般用来定义硬件电路中的各种物理连线。Verilo
41、g HDL提供了多种nets型变量。常见的nets型变量及说明类型功能说明wire、 tri连线类型(两者功能完全相同)wor、 trior具有线或特性的连线(两者功能一致)wand、 triand具有线与特性的连线(两者功能一致)tri1、 tri0分别为上拉电阻和下拉电阻supply1、 supply0分别为电源(逻辑1)和地(逻辑0)s1s2s3谋许贫储种潮郡沦绝履牲壕车戎淫佯串态塘贿丈达熏迈扶肉美忠障搪扔盯FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 寄存器型变量register型变量是用来描述硬件系统的基本数据对象。作为一种数值容器,可以容纳当前值,也可以保持历史值。与寄
42、存器的记忆功能相对应,可以作为模块各器件间的信息传递通道。register型变量与wire型变量的区别在于register型变量需要被明确的赋值, 并且在重新赋值前一直保持原值。register型变量是在always、initial等过程语句种定义,并通过过程语句赋值。常见的register型变量及说明类型功能说明reg常用的寄存器型变量integer32位带符号整数型变量real64位带符号实数型变量time无符号时间型变量谭卜搅樱申麓胰命毋葵疆介恫返萨才哗簇驳起柏层予市扮弱恫俗蛹伯哆裤FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)三、 Verilog HDL的语句Verilog
43、HDL的语句包括赋值语句、条件语句、循环语句、结构声明语句和编译预处理语句等类型,每一类语句又包括几种不同的语句。在这些语句中,有些语句属于顺序执行语句,有些语句属于并行执行语句。(1)赋值语句在Verilog HDL中,赋值语句常用于描述硬件设计电路输出与输入之间的信息传送,改变输出结果。Verilog HDL有4种赋值方法:门基元、连续赋值、过程赋值和非阻塞赋值。门基元赋值语句门基元赋值语句的格式为:基本逻辑门关键字 (门输出,门输入1,门输入2,门输入n);例如 4输入与非门的门基元赋值语句为 nand (y,a,b,c,d); /与语句assign y=(a&b&c&d)等效挺贞剪杂狄
44、真耻尤尼阁蒋供坑髓渡肆仿钻阳斧效诬果霉垒商卵孔扬块钒俱FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 连续赋值语句连续赋值语句的关键字assign,赋值符号是“=”,赋值语句的格式为 assign 赋值变量=表达式;例如 assign y=(a&b&c&d);连续赋值语句的“=”两边的变量都应该是wire型变量。在执行中,输出y的变化跟随输入a、b、c、d的变化而变化,反映了信息传送的连续性。连续赋值语句用于逻辑门和组合逻辑电路的描述。例1 四输入端与非门的Verilog HDL源程序 module example1(y,a,b,c,d); output y; input a,b,c
45、,d; assign #1 y=(a&b&c&d); /#1表示输出与输入信号之间具有1个单位的时间延迟 endmodule奄沛失擒锚补抠疑鄙狙隙舆制坐纳赞衰熊黎壤猖桌芦范柞丸叙再腆胃操触FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 过程赋值语句过程赋值语句出现在initial和always块语句中,赋值符号是“=”,语句格式为: 赋值变量=表达式;过程赋值语句“=”左边的赋值变量必须是(寄存器)reg型变量,其值在该语句结束时即可得到。如果一个块语句中包含若干条过程赋值语句,按顺序一条一条执行,前面的语句没完成,后面的语句就不能执行。因此,过程赋值语句也称为阻塞赋值语句。菲评系凹
46、校蚂褂格搁罢贪佯荡沼琢核御阀硼灵允针揩奖术苯侵舰其坟晃凰FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 非阻塞赋值语句非阻塞赋值语句也是出现在initial和always块语句中,赋值符号是“=”,语句格式为: 赋值变量=表达式;非阻塞赋值语句“=”左边的赋值变量必须是(寄存器)reg型变量,其值在块语句结束时才可得到,与过程赋值语句不同。例如 下面的块语句包含4条赋值语句 always (posedge clock) begin m=3; n=75; n=m; r=n; end语句执行结束后,r的值是75,而不是3,因为第3行是非阻塞赋值语句“n=m”,该语句要等到本块语句结束时,
47、n的值才能改变。块语句的“(posedge clock)”是定时控制敏感寒暑,表示时钟信号clock的上升沿到来的敏感时刻。例2 上升沿触发的D触发器的Verilog HDL源程序 module D_FF(q,d,clock); input d,clock; /属于wire型变量 output q; /属于reg型变量 reg q; always (posedge clock) q=d; endmodule危跃井悸椒珊甫拢溢药晋丫钳念曙檬滴甭筏幸青置乍舷罕陀瘸淀汾檀晌绦FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) (2)条件语句条件语句包含if语句和case语句,它们都是顺序语句,
48、应放在always块中。 if语句完整的Verilog HDL的if语句结构如下: if(表达式) begin 语句; end else if(表达式) begin 语句; end else begin 语句; end在if语句中,“表达式”是逻辑表达式或关系表达式,也可以是位宽为1位的变量。系统对表达式的值进行判断, 若为0,x,z按“假”处理;若为1,按“真”处理,执行指定的语句。纬存鲍秧绽霓姿恳劲仆躇喧酱分稼元痔孺榨庐进然宋砚共掩远理颈宽蘸每FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 例3 8线-3线优先编码器的设计8线-3线优先编码器的功能表 module code(y,
49、a); input7:0 a; output2:0 y; reg2:0 y; always (a) begin if(a7) y=3b111; else if(a6) y=3b110; else if(a5) y=3b101; else if(a4) y=3b100; else if(a3) y=3b011; else if(a2) y=3b010; else if(a1) y=3b001; else y=3b000; end endmodule输入输出in0 in1 in2 in3 in4 in5 in6 in7out2 out1 out0 x x x x x x x 0 x x x x x
50、 x 0 1 x x x x x 0 1 1 x x x x 0 1 1 1 x x x 0 1 1 1 1 x x 0 1 1 1 1 1 x 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 1 0 0 0燎艘臆凭湃蛋接候课江渭峰彪鹃兽抚粱一辖匈扔蚕掣蔑砸潦章飘国猫红属FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) case语句 case语句是一种多分支的条件语句,case语句的格式为: case(表达式) 选择值1: 语句1; 选择值2: 语句2; 选择值n: 语句n; default:
51、语句n+1 endcase 执行case语句时,首先计算表达式的值,然后执行条件句中相应的“选择值”的语句。当所有的条件句的“选择值”与表达式的值不同时,则执行“default”后的语句。default可以省略。 case语句多用于数字系统中的译码器、数据选择器、状态机及微处理器的指令译码器等电路的描述。辅挺她波叔姆膏呻家噎戈胆弯别彦巾颖虎湃儿侣纬丫哉坚捂昭嗜蛰近斩葫FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 例4:用case语句描述4选1数据选择器控制信号s1,s2有4种组合,控制a,b,c,d中的一个数据送到输出端。4选1数据选择器Verilog HDL的描述如下: modu
52、le mux41(z,a,b,c,d,s1,s2); input s1,s2; input a,b,c,d; output z; reg z; always (a,b,c,d,s1,s2) begin case(s1,s2) 2b00: z=a; 2b01: z=b; 2b10: z=c; 2b11: z=d; endcase end endmodulecase语句还有两种变体形式,casez和casex语句。与case语句的区别是不考虑语句中的高阻z和未知x的那些位,只关注其他位的比较结果。s1 s2z0 00 11 01 1abcd4选1数据选择器功能表楞茎扣呼况蓑谤骨衔女重衣尿搀巢暗达檄
53、烛夺荔兢秧馈厉端太组瑶叛婆铣FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) (3)循环语句循环语句包含for语句、repeat语句、while语句和forever语句4种。 for语句for语句的格式为: for(循环指针=初值;循环指针 终值;循环指针=循环指针+步长值) begin 语句; endfor语句可以是一组语句重复执行,语句中的参数一般属于整型变量或常量。语句重复执行的次数由语句中的参数确定。即 循环重复次数=(终值-初值)/步长值蕴伪氮馒拣通革拟搂卤罢宁尹畸嫁担潮茨狈医路雕旷取埋参咱仿臻及邢绒FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 例5:8位奇偶校验
54、器的描述用a表示输入信号,长度为8位的向量。在程序中,用for语句对a的值,逐位进行模2加运算(即异或XOR),循环指针变量n控制模2加的次数。 11100110 奇数个1循环变量的初值为0,终值为8,因此,控制循环共执行了8次。 例5 用Verilog HDL对8位奇偶校验器的描述如下: module test8(a,out); input7:0 a; output out; reg out; integer n; always (a) begin out=0; for(n=0;n=b) max=a; else max=b; end endfunction 函数调用的格式如下 函数名 (关联
55、参数表); 函数调用一般是出现在模块、任务或函数语句中。通过函数的调用来完成摹写数据的运算或转换。例如,调用上例中求最大值函数的语句为 z=max(x, y);其中,x和y是与函数定义的两个参数a、b相关联的关联参数。通过函数的调用,求出x和y中的最大值,并用函数名max返回。寥浅碧庸岭侄沧媚那眨青镰柬了秩碌叭与壶腕晕观造约财藉签嚏质业鄙弧FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂) 函数和任务存在以下几处区别:(1)任务可以有任意不同类型输入/输出参数,函数不能将inout类型作为输出。(2)任务只可以在过程语句中调用,不能在连续赋值语句assign中调用;函数可以作为表达式中的
56、一个操作数,在过程赋值语句和连续赋值语句中调用。 (3)任务可以调用其他任务或函数;函数可以调用其他函数,但不能调用任务。 (4)任务不向表达式返回值,函数向调用它的表达式返回一个值。芥篙蒜醋甩椽骂秆原恐充洒摊蓄惨盐返徊枪攘荤灿众划姑祸卞折醇找蛹寞FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)(5)语句的顺序执行与并行执行Verilog HDL中有顺序执行语句和并行执行语句之分。always块语句中的语句是顺序语句,按照程序书写的顺序执行。always块本身确是并行语句,它与其他always语句及assign语句、元件例化语句的执行都是同时(即并行)的。例9 同步清除十进制加法计数器
57、的描述同步清0是在时钟信号作用下,计数器的状态被clr清0,清0信号clr高电平有效;时钟信号clk上升沿触发。计数器计数状态从4b0000到4b1001循环。module cnt10(clr,clk,q,co); input clr,clk; output3:0 q; output co; reg 3:0 q; reg co; always (posedge clk) begin if(clr) begin co=0; q=4b0000;end else if (q=4b1001) begin q=4b0000; co=1;end else begin co=0; q=q+1;end end
58、 endmodule 杠苑牛赃磨焕施知燕氢锅绿贿臣绩漫滚祭抬却淋奎霖症斤顺填约肥阵舟绞FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)五、 Verilog HDL的描述方式(建模方法)系统级(system): 用高级语言结构实现设计模块的外部性能的模型。算法级(algorithmic): 用高级语言结构实现设计算法的模型。 RTL级(Register Transfer Level): 描述数据在寄存器之间流动和如何处理这些数据的模型。 门级(gate-level): 描述逻辑门以及逻辑门之间的连接的模型。开关级(switch-level): 描述器件中三极管和储存节点以及它们之间连接的
59、模型。 用Verilog HDL描述的电路就称为该设计电路的Verilog HDL模型建模层次:不同抽象级别的Verilog HDL模型腔磋馅筷材贺磐扳甩窒亢黄注豆村喉机堪么瑰刘悼强毋粮孵署苯走舞庐世FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)描述方式: 1、结构描述:描述元器件间连接关系:例化2、数据流描述:描述电路数据流行为:assign3、行为描述:描述功能:always4、混合描述:Verilog允许多描述方式共存于同一模块。瓷回拆赶卸残迭修核羊泼端冤逢廖杭闺府悲勺贬呈嫌丁荧芒茧翔火她嗜部FPGA技术教程(通俗易懂)FPGA技术教程(通俗易懂)751 结构描述1) 基于库元
60、件的结构描述门类型关键字 ( );门级描述即直接调用门原语进行逻辑的结构描述。 以门级为基础的结构描述所建立的硬件模型不仅是可仿真的,也是可综合的;一个逻辑网络由许多逻辑门和开关组成,用逻辑门的模型来描述逻辑网络最直观!门类型的关键字有26个,常用的有9个: not,and,nand,or,nor,xor,xnor,buf, bufif1,bufif0,notif1,notif0(各种三态门)调用门原语的句法:注1:在 端口列表中输出信号列在最前面;注2:门级描述不适于描述复杂的系统!门级描述可省略! 骤毫吾色懈羊讳誓员跃追浊笆也罗咱辱拢侯钩媚乏主弥肪参逆姐物娇惺砂FPGA技术教程(通俗易懂)
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 合同正副本封面3篇
- 冰球教练劳动合同范本3篇
- 全新劳务用工与劳动合同3篇
- 全球供应链采购合同3篇
- 分期付款买卖合同范本3篇
- 聘用木工合同范例
- 政府报账合同范例
- 个人共同集资合同范例
- 施工劳动安全合同范例
- 社会劳动合同范例
- 机动车检测站新换版20241124质量管理手册
- GB/T 44143-2024科技人才评价规范
- 血栓弹力图课件-PPT
- ICP-MS作用及功能的使用课件
- 校园一日安全巡查记录表(共1页)
- 设备移交清单模板 (2)
- 江苏省南通市2021届新高考物理一模试卷含解析
- 高校科技成果转化问题与对策建议
- (完整版)公司企业HSE管理体系及制度
- 校长任职期间经济责任履行述职报告
- 药物研发与评价研讨之包装材料和容器变更的技术要求及案例分析
评论
0/150
提交评论