混合信号仿真入门-VerilogAPPT课件_第1页
混合信号仿真入门-VerilogAPPT课件_第2页
混合信号仿真入门-VerilogAPPT课件_第3页
混合信号仿真入门-VerilogAPPT课件_第4页
混合信号仿真入门-VerilogAPPT课件_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1,混合信号电路设计 VerilogA介绍,2,主要内容,混合信号电路设计介绍 VerilogA概述 VerilogA应用 开关电容积分器 射频电路仿真,3,混合信号电路设计流程,cadence,4,设计方法,cadence,5,时间安排,cadence,6,建立行为模型?,从顶向下的设计 缩短设计周期 适应快速变化的需求 可重用的设计库,7,公开行为模型库,cadence,8,VerilogA,发表于1996年;事实上的标准 描述模拟电路系统和单元的结构、行为及特性参数的模块化硬件描述语言 其行为级模型能映射成SPICE网表,与SPICE子电路的仿真编译相同,9,VerilogA模块,10,

2、Verilog-A vs. Verilog,modulemodule analog always electrical reg =,11,基本行为描述,线性 非线性 分段线性 积分 微分 事件驱动,12,模拟运算符,时间微分:ddt 时间积分:idt 时间延迟:delay Laplace变换:laplace_zp Z变换:zi_zp 离散滤波:transition,slew 模拟事件:timer,cross,13,开发模板,14,模拟开关,15,模块开发,命名规范 端口排列规范 参数化 编程规范 应用环境 测试验证,16,开关电容积分器,17,模拟开关行为模型,沟道电阻:R f(Vin, Vout, Vctrl) 时钟馈通:Cov 信号相关的开关动作:state controller,Lauwers,18,Miller运放,19,Miller运放行为模型,20,仿真比较,Lauwers,21,射频电路仿真瓶颈,cadence,22,仿真策略,cadence,23,DCM (特征提取建模),由精确仿真结果生成VerilogA模型 基于模板,易于使用 纯表格模型,仿真时间短,精度可靠,24,DCM,cadence,25,仿真时间比较,cadence,26,仿

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论