




已阅读5页,还剩25页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
38译码器的设计与仿真班 级:08级电子一班学 号:F0855114姓 名:刘冰指导教师:张明设计时间:6月26日6月30日目 录1、课程设计的目的.32、课程设计的方案.43、设计的结果与分析.64、心得体会.115、参考文献.1238译码器的设计与仿真1课程设计的目的1.1目的 随着科学技术日益迅速的发展,数字系统已经深入到生活的各个方面。它具有技术效果好,经济效益高,技术先进,造价较低,可靠性高,维修方便等许多优点。使我们更加数,熟练掌握数字系统的设计。对所学专业知识有能力更好应用在实践方面。EDA技术及应用是学习理论课程之后的实践教学环节。目的是通过解决比较简单的实际问题巩固和加深在EDA技术及应用课程中所学的理论知识和实验技能。训练我们综合运用学过的电子技术基础知识,在教师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。使我们初步掌握模拟电子电路设计的一般方法步骤,通过理论联系实际提高和培养我们分析、解决实际问题的能力和创新能力,为后续课程的学习、毕业设计和毕业后的工作打下一定的基础。1.2内容 设计38译码器,并在maxplus软件平台上进行编译和仿真。如图所示: 图1A:三位输入端;EN:输入使能端;Y:八位输出端。2 设计方案论证2.1方案选择 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。 38译码器原理图,如图所示: 图22.2软件选择Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图形方式、文字输入方式(AHDL、VHDL和VERILOG)、和波形方式输入设计文件,可以编译并形成各种能够下装到EPROM和各种ALTERA器件的文件,还可以进行仿真以检验设计的准确性,下面举例说明该软件的使用。3.设计结果与分析3 8译码器的源代码 library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity trans38 is port(A:in std_logic_vector(2 downto 0);EN:in std_logic; Y:out std_logic_vector(7 downto 0) );end trans38;architecture dec_behave of trans38 is signal sel:std_logic_vector(3 downto 0);begin sel=A&EN; with sel select Y= 00000001 when 0001, 00000010 when 0011, 00000100 when 0101, 00001000 when 0111, 00010000 when 1001, 00100000 when 1011, 01000000 when 1101, 10000000 when 1111, XXXXXXXX when others;end dec_behave;library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity trans38 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0) );end trans38;architecture dec_behave of trans38 is signal sel:std_logic_vector(3 downto 0);begin sel=A&EN; with sel select Y”键将需要观察的信号选到右栏中,然后点击OK键即可。仿真结果分析如图所示: 图64设计体会 回顾起此次课程设计,至今我仍感慨颇多,从理论到实践,在整整一个星期的日子里,我学到很多很多的东西,不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。在设计的过程遇到了各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固了所学的知识。通过此次课程设计,是我更加扎实的掌握了IC方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查,终于找出了原因的所在,也暴露出前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,收我们的知识不再是纸上谈兵。在今后的发展和实践过程中,一定要不懈努力,不能遇到问题就想到退缩,一定要不厌其烦的发现问题所在,然后一一进行解决。5参考文献1 雷海卫,刘俊.FPGA中软FIFO设计和实现J.微计算机信息,2008,15(1):59-732李传琦.电力电子技术计算机仿真实验M.北京:电子工业出版社,2006.23雷海卫,刘俊.FPGA中软FIFO设计和实现J.微计算机信息,2008,15(1):59-734甘历 编著 ,VHDL应用与开发实践 M ,科学出版社,2003年出版5刘志杨,郭继昌,关欣,黄彩彩.利用FPGA实现同步FIFO设置方法J.电子测量,2006,25(1):25-466 阎石. 数字电子技术基础M.北京:高等教育出版社,2006.7:466499 (各级标题四号宋体加粗,正文文字小四号宋体,程序五号times new roman)设计题目1课程设计的目的 (1.1目的1.2内容)2设计方案论证各部分分二级标题2.1 2.2.。叙述3设计结果与分析4设计体会设计体会内容。总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,提出改进意见和展望5参考文献参考文献要列出5篇以上,参考书3本及以上、参考文献2篇及以上,要求近五年之内,格式如下:1 谢宋和, 甘 勇. 单片机模糊控制系统设计与应用实例M. 北京: 电子工业出版社, 1999.5:20-25(参考书或专著格式为:著者. 书名M. 版本(第1版不注)
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年财务决策能力试题及答案
- 股份转让合同股权购买付款协议
- 空运货物运输合同协议
- 微生物检验技术生命周期的考察试题及答案
- 项目管理根本理论解析及答案
- 项目管理中的反馈文化建设与重要性试题及答案
- 品牌定位的动态调整策略计划
- 城市供水设施的智慧化建设计划
- 2025年国际金融理财师历年试题及答案
- 大数据地震预警技术重点基础知识点
- 劳务派遣劳务外包服务方案(技术方案)
- 趣说中国史宋朝篇
- 委托持股协议
- 《新概念英语》第三册课文详解及课后答案
- 拔罐技术操作考核评分标准
- 工业机器人操作与运维实训(高级)-教学大纲、授课计划
- 蛤蟆先生去看心理医生
- 心脏康复护理专家共识PPT
- 汽车充电站生产安全事故隐患清单-有依据
- 浙江省杭州市萧山区第二学期六年级语文期中试题(含答案)
- 《中餐烹饪美学》课后答案
评论
0/150
提交评论