vhdl基础复习题.doc_第1页
vhdl基础复习题.doc_第2页
vhdl基础复习题.doc_第3页
vhdl基础复习题.doc_第4页
vhdl基础复习题.doc_第5页
已阅读5页,还剩28页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

一、名词解释1. VHDL(Very high speed intergated circuit Hardware Description Language):非常高速集成电路的硬件描述语言。2.实体说明:用来描述电路器件的外部情况及各信号端口的基本性质。3.结构体:通过若干并行语句来描述设计实体的逻辑功能(行为描述)或内部电路结构(结构描述),从而建立设计实体输出与输入之间的关系。 4.类属表:用来确定设计实体中定义的局部常数,用以将信息参数传递到实体,用类属表指明器件的一些特征。最常用的是上升沿和下降沿之类的延迟时间,负载电容、驱动能力和功耗等。5.数据对象:数据对象是数据类型的载体,共有三种形式的对象:Constant(常量)、Variable(变量)、Signal(信号)。6.并行语句:并行语句有五种类型,可以把它们看成结构体的五种子结构。这五种语句结构本身是并行语句,但内部可能含有并行运行的逻辑描述语句或顺序运行的逻辑描述语句,如进程内部包含的即为顺序语句。五种语句结构分别为块语句、进程语句、信号赋值语句、子程序调用语句和元件例化语句。 7.程序包:程序包可定义一些公用的子程序、常量以及自定义数据类型等。各种VHDL编译系统都含有多个标准程序包,如Std-Logic-1164和Standard程序包。用户也可已自行设计程序包。程序包由两个独立的单元组成:程序包声明单元和程序包体单元构成。二、写出下列缩写的中文(或者英文)含义1. ASIC专用集成电路2. FPGA现场可编程门阵列3. IP知识产权核(软件包)4. JTAG联合测试行动小组5. VHDL 超高速集成电路硬件描述语言6. FPGA 现场可编程门阵列7. RTL 寄存器传输级8. SOPC 可编程片上系统EAB 嵌入式阵列块HDL硬件描述语言9. LPM参数可定制宏模块库10. RTL寄存器传输级11. UART串口(通用异步收发器)12. ISP在系统编程13. IEEE电子电气工程师协会14. ASIC专用集成电路15. LAB逻辑阵列块16. IP核:是指完成某种功能的设计模块。17. FPGA:现场可编程门阵列。18. SOC:系统芯片,是指把一个完整的系统集成在一个芯片上。19. HDL:硬件描述语言,是一种用文本形式来描述和设计电路的语言。20. 综合:指的是将较高层次的设计描述自动转化为较低层次描述的过程。能够将原理图或HDL语言表达成描述的电路功能转化为具体结构网表的工具。21. 适配:将由综合器产生的网表文件配置于指定的目标器件中,并产生最终的可下载文件。22. 仿真:对所设计电路的功能的验证。23. 编程:把适配后生成的编程文件装入到PLD器件中的过程。24. 参数化模块库:参数化模块库中是一些经过验证的功能模块,用户可以根据自己的需要设定模块的端口和参数,即可完成模块的定制。25. PLD:可编程逻辑器件(Programmable Logic Device)26. PLA:可编程逻辑阵列(Programmable Logic Array)27. PAL:可编程阵列逻辑(Programmable Array Logic)28. GAL:通用阵列逻辑(Generic Array Logic)29. CPLD:复杂可编程逻辑器(Complex Programmable Logic Device)30. ASIC:专用集成电路(Application Specific Integrated Circuit)31. ISP:在系统编程(In-System Programmable)三、填空题1 CPLD的基本结构看成由可编程逻辑宏单元、可编程I/O控制模块和可编程内部连线等三部分组成。2FPGA由 可编程逻辑块(CLB)、 可编程互连单元(I/O)和可编程互连三种可编程电路和一个SRAM结构的配置存储单元组成。3CPLD是基于 乘积项 的可编程结构,即由可编程的与阵列和固定的或阵列来完成功能。而FPGA采用查找表LUT结构的可编程结构。4 硬件描述语言(HDL) 是EDA技术的重要组成部分,是电子系统硬件行为描述、结构描述、数据流描述的语言。它的种类很多,如 VHDL 、 Verilog HDL 、 AHDL 。5VHDL的基本描述语句包括一系列顺序语句和并行语句两大基本描述语句。6 VHDL的库分为两类: 设计库 和 资源库 。7利用EDA技术进行电路设计时设计输入有多种方式,如:波形输入方式 、原理图输入方式、文本输入方式。8VHDL实体部分的端口模式用来说明信号的流动方向,共有四种类型: IN 、OUT 、 BUFFER 、 INOUT 。9结构体的结构化描述主要描述电路的组成,即元件之间的互连。主要用元件例化语句和生成语句来实现。10VHDL语言的操作符有四种,即逻辑运算符、关系运算符、算术运算符、并置运算符。11、 EDA即_电子设计自动化_。12、CPLD和FPGA统称为_高密度可编程逻辑器件13、可编程逻辑器件的设计过程可以分为四个步骤_设计输入_,_设计实现_,_设计校验_,_下载编程_14、目前应用最广泛的HDL(硬件描述语言)有_VHDL_,_Verilog-HDL_15、构成一个完整的VHDL语言程序的五个基本结构是实体,结构体,库,程序包,配置。VHDL的实体说明部分(ENTITY)主要功能是描述_电路的外部接口16、VHDL中有三种基本的数据对象,分别是常量_、_信号_、_变量_。在VHDL语句中,“- ”符号表示注释17、在VHDL中,把“DATA”定义为信号,数据类型为整数的语句_。在VHDL中,语句CLKEVENT AND CLK=1表示信号CLK的上升沿10、VHDL程序文件的扩展名是_ VHD 18将硬件描述语吉转化为硬件电路的重要工具软件称为HDL综合器 。 19EDA的设计输入主要包括文本输入方式、图形输入和波形输入方式。 20文本输入是指采用硬件描述语言进行电路设计的方式。21功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。 22时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为后仿真或延时仿真。23硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为自顶向下(Top-Down)的设计法。 24EDA设计流程包括设计准备、设计输入、设计处理和器件编程四个步骤。 25EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。 26EDA工具大致可以分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)和下载器等五个模块。27、VHDL的实体由_实体声明_部分和_结构体_组成。28、VHDL的实体声明部分指定了设计单元的输入/输出端口或引脚_,它是设计实体对外一个通信界面,是外界可以看到的部分。29、VHDL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。30、在VHDL的端口声明语句中,端口方向包括IN(输入)、OUT(输出)、INOUT(双向)和BUFFER(具有读功能的输出)。31、VHDL的变量(VARIABLE)是一个局部量,它只能在进程、函数和过程中声明和使用。32、VHDL的信号(SIGNAL)是一种数值容器,不仅可以容纳_当前值,也可以保持历史值。33、在VHDL中,标准逻辑位数据有九逻辑值。34、VHDL的顺序语句只能出现在进程_、过程和函数中,是按程序书写的顺序自上而下、一条一条地执行。35、VHDL并行语句在结构体中的执行是并行运行的,其执行方式与语句书写的顺序无关。36、在VHDL的各种并行语句之间,可以有_信号来交换信息。37、VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。38、VHDL的并行信号赋值语句的赋值目标必须都是信号。39、VHDL的子程序有过程_和函数两种类型。40、VHDL的过程分为过程首和过程体两部分,调用前需要将它们装入_程序包中。41、VHDL的函数分为函数首和函数体两部分,调用前需要将它们装入程序包中。42、元件例化是将预先设计好的设计实体作为一个元件,连接到当前设计实体中一个指定的端口。43、程序包是用VHDL语言编写的,其源程序也需要以.VHD文件类型保存。44、VHDL的源文件是用EDA工具的文本编辑方式输入的,因此称为文本输入设计法45、数字系统中常用的LSI(大规模集成电路)可分为非用户定制电路(又称为通用集成电路)、全用户定制电路(又称为专用集成电路和半用户定制电路三种类型。46、可编程逻辑器件PLD属于半用户定制电路。47、利用EDA工具,设计者只需用_硬件描述语言来完成对系统功能的描述,然后由计算机软件自动完成设计处理,得到PLD设计结果。48、 基于EDA技术的设计中,通常有两种设计思路,一种是自顶向下的设计思路,一种是自底向上的设计思路。49、 IP核分为硬核、固核和软核三种类型。50、 数字器件经历了从SSI、MSI、LSI到VLSI,直到现在的SOC。51、 数字系统的实现主要可选择两类器件,一类是可编程逻辑器件(PLD),另一类是专用集成电路(ASIC)。52、 基于FPGA/CPLD器件的数字系统设计主要包括设计输入、综合FPGA/CPLD器件适配、仿真和编程下载等步骤。53、 设计输入有多种表达方式,最常用的是原理图方式和HDL文本方式两种。54、原理图设计应输入源文件、然后创建工程、对设计进行编译,之后进行波形仿真。55、在QuartusII创建工程要设定有关内容如工程名、目标器件、选用的综合器和仿真器等。56、 在QuartusII中进行波形仿真需进行如下步骤:打开波形编辑器、输入信号节点、编辑输入信号波形、仿真器参数设置和观察仿真结果。57、 SPLD(简单的可编程逻辑器件)包括PROM、PLA、PAL和GAL四类器件。58、 HDPLD(高密度可编程逻辑器件)主要包括CPLD和FPGA两类器件。59、 PLD器件按照可以编程的次数可以分为一次性编程器件和可多次编程器件两类。四、选择题1、 LIBRARY _A_;USE IEEE.STD_LOGIC_1164.ALL;A. IEEE B. STD C.WORK2、ENTITY counter IS PORT( Clk : IN STD_LOGIC; Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);END _B_;A. counter23 B. counter C. work3、ENTITY counter IS PORT( Clk : IN STD_LOGIC;Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);.ARCHITECTURE a OF _B_ ISA. counter23 B. counter C. work4、ARCHITECTURE a OF mux4 ISBEGIN END _A_;A. a B. b C. c5、LIBRARY IEEE;USE IEEE._A_.ALL;A. STD_LOGIC_1164 B. IEEE_LOGIC_1164 C. WORK_LOGIC_11646、下列是一个四选一的数据选择器的实体,S,A,B,C,D是输入端,Y是输出端ENTITY multi_4v IS PORT(S :_A_ STD_LOGIC_VECTOR (1 DOWNTO 0); A,B,C,D :_A_ STD_LOGIC; Y :_B_ STD_LOGIC );END multi_4v;A. IN B . OUT C. BUFFER7、下面是一个计数器的实体,clk是输入端,q是输出端ENTITY countclr ISPORT(clk :_A_ STD_LOGIC; q :_C_ STD_LOGIC_VECTOR(7 DOWNTO 0);END countclr;ARCHITECTURE one OF countclr ISBEGINA. IN B. OUT C. BUFFER8、ARCHITECTURE one OF multi_4v IS_B_.END one;A. IN B. BEGIN C. END9、PROCESS(clk) VARIABLE qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0); _C_ IF clkevent AND clk=1 THEN.END PROCESS;A. IN B. END C. BEGIN10、CASE D IS WHEN 0_A_ S_C_0000001; -0A. = B. = C. =11、IF clr=0 THEN qtmp:=00000000; ELSE qtmp:=qtmp+1; _B_;A. END PROCESS B. END IF C. BEGIN12、IF j=0 AND k=0 THEN NULL; _C_ j=0 AND k=1 THEN qtmp B. = C. :=14、PROCESS(clk) _B_ qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF clkevent AND clk=1 THEN IF clr=0 THEN qtmp=00000000;A. VARIABLE B.SIGNAL C. BEGIN15、下面是循环移位寄存器的部分程序dout(4 DOWNTO 1)=dout(3 DOWNTO 0); _C_=dout(4);A. dout(1) B. dout(3) C. dout(0)16、进程(process)语句是_B_A. 顺序语句 B. 并行语句 C. 其它17、IF语句是AA. 顺序语句 B. 并行语句 C. 其它17、将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( A )。 A.设计输入 B.设计输出 C.仿真 D.综合18、包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为( B )。 A.设计输入 B.设计处理 C.功能仿真 D.时序仿真19、在设计输入完成之后,应立即对设计文件进行( B )。A.编辑 B.编译 C.功能仿真 D.时序仿真20、在设计处理过程中,可产生供器件编程使用的数据文件,对于CPLD来说是产生(A )文件。A.熔丝图 B.位流数据 C.图形 D.仿真21、在设计处理过程中文件可产生供器件编程使用的数据文件,对于FPGA来说是生成( B )文件。 A.熔丝图 B.位流数据 C.图形 D.仿真22、在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为( B )。A.仿真器 B.综合器 C.适配器 D.下载器23、在EDA上具中,能完成在目标系统器件上布局布线软件称为( C )。A.仿真器 B.综合器 C.适配器 D.下载器24、一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整VHDL程序称为( C )。A.设计输入 B.设计输出 C.设计实体 D.设计结构25、VHDL的设计实体可以被高层次的系统( D ),成为系统的一部分。A.输入 B.输出 C.仿真 D.调用26、VHDL常用的库是( A )标准库。A.IEEE B.STD C.WORK D.PACKAGE27、在VHDL的端口声明语句中,用( A )声明端口为输入方向。 A.IN B.OUT C.INOUT D.BUFFER28、在VHDL的端口声明语句中,用(B )声明端口为输出方向。A.IN B.OUT C.INOUT D.BUFFER29、在VHDL的端口声明语句中,用( C )声明端口为双向方向。A.IN B.OUT C.INOUT D.BUFFER30、在VHDL中,16#FE#属于( B )文字。A.整数 B.以数制基数表示的 C.实数 D.物理量31、在VHDL标识符命名规则中,以( A )开头的标识符是正确的。A.字母 B.数字 C.字母或数字 D.下划线32、在VHDL中,( D )的数据传输是立即发生的,不存在任何延时的行为。A.信号 B.常量 C.数据 D.变量33、在VHDL中,( A )的数据传输不是立即发生的,目标信号的赋值是需要一定延时时间。A.信号 B.常量 C.数据 D.变量34、在VHDL中,为目标变量的赋值符号是( C )。A.=: B.= C.:= D.=35、在VHDL中,为目标信号的赋值符号是( D )。A.=: B.= C. := D.”不是操作符,它只相当于( B )的作用。A.IF B.THEN C.AND D.OR42、在VHDL的FOR-LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部变量,( B )事先声明。A.必须 B.不必 C.其类型要 D.其属性要43、在VHDL中,含WAIT语句的进程PROCESS的括弧中( B )再加敏感信号,否则是非法的。A.可以 B.不能 C.任意 D.只能44、在VHDL的并行语句之间,可以用( C )来传送往来信息。A.变量 B.变量和信号 C.信号 D.常量45、在VHDL中,PROCESS结构是由( A )语句组成的。A.顺序 B.顺序和并行 C.并行 D.任何46、VHDL的块语句是并行语句结构,它的内部是由( C )语句构成的。A.顺序和并行 B.顺序 C.并行 D.任意47、在VHDL中,条件信号赋值语句WHENELSE属于( C )语句。A.顺序兼并行 B.顺序 C.并行 D.任意48、在元件例化(COMPONENT)语句中,有( D )符号实现名称映射,将例化元件端口声明语句中的信号名与PORT MAP( )中的信号名关联起来。A.= B. := C.49、VHDL的WORK库是用户设计的现行工作库,用于存放( A )的工程项目。A.用户自己设计 B.公用程序 C.共享数据 D.图形文件50、 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_DAPROCESS为一无限循环语句B敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C当前进程中声明的变量不可用于其他进程D进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成51、下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:_BA原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B原理图输入设计方法一般是一种自底向上的设计方法C原理图输入设计方法无法对电路进行功能描述D原理图输入设计方法不适合进行层次化设计52、对于信号和变量的说法,哪一个是不正确的:_AA信号用于作为进程中局部数据存储单元B变量的赋值是立即完成的C信号在整个结构体内的任何地方都能适用D变量和信号的赋值符号不一样53、VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_DAIEEE库BVITAL库CSTD库DWORK工作库54、下列语句中,不属于并行语句的是:_BA进程语句BCASE语句C元件例化语句DWHENELSE语句55、IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_。AA .软IP B.固IP C.硬IP D.都不是56、 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_是错误的。Da) 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;b) 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;c) 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;d) 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。57、 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C_。a) FPGA是基于乘积项结构的可编程逻辑器件;b) FPGA是全称为复杂可编程逻辑器件;c) 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;d) 在Altera公司生产的器件中,MAX7000系列属FPGA结构。58、 进程中的变量赋值语句,其变量更新是_。Aa) 立即完成;b) 按顺序完成;c) 在进程的最后完成;都不对。59、 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_。Da) 器件外部特性;b) 器件的综合约束;c) 器件外部特性与内部功能;d) 器件的内部功能。60、 不完整的IF语句,其综合结果可实现_。AA. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路61、下列EDA软件中,哪一个不具有逻辑综合功能:_。BA. Max+Plus IIB. ModelSimC. Quartus IISynplify 62、 进程中的信号赋值语句,其信号更新是_C_。a) 按顺序完成;b) 比变量更快完成;c) 在进程的最后完成;都不对。五、简答题1、简述元件例化语句的作用,组成及格式。答:把已经设计好的设计实体称为一个元件或一个模块,它可以被高层次的设计引用。引用时就会用到元件声明和元件例化语句。二者缺一不可。1)元件声明COMPONENT 元件实体名PORT (元件端口信息);END COMPONENT;2)元件例化例化名:元件名 PORT MAP (端口列表)2、简述VHDL的程序结构答:1)USE定义区2)PACKAGE定义区3)ENTITY定义区4)ARCHITECTURE定义区5)CONFIGURATION定义区3、写出ENTITY定义区的命令格式,并叙述它的作用是什么?答:格式: ENTITY实体名IS PORT(端口表); END ENTITY 实体名;实体说明作用:用来描述电路器件的外部情况及各信号端口的基本性质。4、写出ARCHITECTURE定义区的命令格式,并叙述它的作用是什么?答:格式: ARCHITECTURE 结构体名 OF 实体名 IS 说明语句; BEGIN 并行语句; END ARCHITECTURE 结构体名;作用:通过若干并行语句来描述设计实体的逻辑功能(行为描述)或内部电路结构(结构描述),从而建立设计实体输出与输入之间的关系。5、VHDL子程序有什么作用?它有哪两种方式?答:子程序(Subprograms)作用:由一组顺序语句组成,是为了在程序中重复使用而设立的。VHDL中的子程序包括过程(PROCEDURE)和函数(FUNCTION)等两类6、 简述 CPLD的结构。答:CPLD的基本结构由可编程逻辑阵列(LAB)、可编程I/O控制模块和可编程内部连线(PIA)等三部分组成。 1可编程逻辑阵列(LAB) 可编程逻辑阵列又若干个可编程逻辑宏单元(Logic Macro Cell,LMC)组成, LMC内部主要包括与阵列、或阵列、可编程触发器和多路选择器等电路,能独立地配置为时序或组合工作方式。 2可编程I/O单元(IOC)CPLD的I/O单元(Input/Output Cell,IOC),是内部信号到I/O引脚的接口部分。根据器件和功能的不同,各种器件的结构也不相同。由于阵列型器件通常只有少数几个专用输入端,大部分端口均为I/O端,而且系统的输入信号通常需要锁存。因此I/O常作为一个独立单元来处理。3可编程内部连线(PIA)可编程内部连线的作用是在各逻辑宏单元之间以及逻辑宏单元和I/O单元之间提供互连网络。各逻辑宏单元通过可编程连线阵列接收来自输入端的信号,并将宏单元的信号送目的地。这种互连机制有很大的灵活性,它允许在不影响引脚分配的情况下改变内部的设计。7、 PLD有那几种编程技术?答:(1)熔丝(Fuse)和反熔丝(Anti-fuse)编程技术熔丝编程技术是用熔丝作为开关元件,这些开关元件平时(在未编程时)处于连通状态,加电编程时,在不需要连接处将熔丝熔断,保留在器件内的熔丝模式决定相应器件的逻辑功能。反熔丝编程技术也称熔通编程技术,这类器件是用逆熔丝作为开关元件。这些开关元件在未编程时处于开路状态,编程时,在需要连接处的逆熔丝开关元件两端加上编程电压,逆熔丝将由高阻抗变为低阻抗,实现两点间的连接,编程后器件内的反熔丝模式决定了相应器件的逻辑功能。 (2)浮栅型电可写紫外线擦除编程技术浮栅管相当于一个电子开关,加电写入,电压脉冲消除后,浮栅上的带电粒子可以长期保留;当浮栅管受到紫外光照射时,擦除所记忆的信息,而为重新编程做好准备。(3)浮栅型电可写电擦除编程技(E2PROM) 编程和擦除都是通过在漏极和控制栅极上加入一定幅度和极性的电脉冲来实现,可由用户在“现场”用编程器来完成。(4)SRAM编程技术 与浮栅型熔丝结构基本相同。SRAM编程技术是在FPGA器件中采用的主要编程工艺之一。SRAM型的FPGA是易失性的,断电后其内部编程数据(构造代码)将丢失,需在外部配接ROM存放FPGA的编程数据。 8、什么是边界扫描技术?其原理是什么?答:边界扫描测试技术(Boundary Scan Testing,BST),主要用于解决可编程逻辑器件芯片的测试问题。这种测试可在器件正常工作时捕获功能数据。器件的边界扫描单元能够迫使逻辑追踪引脚信号,或是从引脚或器件核心逻辑信号中捕获数据。强行加入的测试数据串行地移入边界扫描单元,捕获的数据串行移出并在器件外部同预期的结果进行比较。标准的边界扫描测试只需要五根信号线,即TDI(测试数据输入)、TDO(测试数据输出)、TRST(测试复位输入)TMS(测试模式选择)和TCK(测试时钟输入),TRST能够对电路板上所有支持边界扫描的芯片内部逻辑和边界管脚进行测试。应用边界扫描技术能够增强芯片、电路板甚至系统的可测试性。9、 简述 FPGA的结构。答:FPGA由可编程逻辑块(CLB)、输入/输出模块(IOB)及可编程互连资源(PIR)等三种可编程电路和一个SRAM结构的配置存储单元组成。1可编程逻辑块(CLB) CLB主要由逻辑函数发生器、触发器、数据选择器等电路组成。2输入/输出模块(IOB)IOB主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成,每个IOB控制一个引脚,它们可被配置为输入、输出或双向I/O功能。3可编程互连资源(PIR)PIR由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。实现FPGA内部的CLB和CLB之间、CLB和IOB之间的连接。10、 设计时怎样选择CPLD和 FPGA器件?答:从以下几个方面进行选择:1逻辑单元CPLD中的逻辑单元是大单元,通常其变量数约2028个。FPGA逻辑单元是小单元,其输入变量数通常只有几个,2内部互连资源与连线结构 FPGA单元小、互连关系复杂,所以使用的互连方式较多。CPLD不采用分段互连方式,它使用的是集总总线。3编程工艺CPLD属于只读(ROM)型编程,可以反复编程,但它们一经编程,片内逻辑就被固定,如果数据改变就要进行重新擦写。FPGA芯片采用RAM型编程,功耗低,但掉电后信息不能保存,必须与存储器联用。每次上电时须先对芯片配置,然后方可使用。4规模逻辑电路在中小规模范围内,选用CPLD价格较便宜,能直接用于系统。对于大规模的逻辑设计,则多采用FPGA.5FPGA和CPLD封装形式的选择FPGA和CPLD器件的封装形式很多。同一型号的器件可以多种不同的封装。11、 数字系统的设计有哪几个步骤?答:数字系统设计过程可分为以下几个设计步骤:系统设计、芯片设计、电路设计、PCB设计、结构设计及电路调试和系统调试。(1)系统设计系统设计是数字系统设计的最高层次。系统设计主要任务是将设计要求转换为明确的、可实现的功能和技术指标,确定可行的技术方案,且在系统一级(顶层)进行功能和技术指标的描述。这类描述一般通过文字来表示就可以,不会用VHDL来描述。 (2)电路设计电路设计主要是确定实现系统功能的算法和电路形式,在电路级对系统的功能进行描述。在传统的采用分立元件及中、小规模集成电路进行逻辑设计时,往往采用传统的手工设计方法。所需的元器件种类多、数目大;调试复杂,难于修改;设计无灵活性可言。这里基于FPGA/ CPLD数字系统的电路设计和传统电路设计方法有本质的区别,只是层次化设计理念一样。 (3)芯片设计通过对芯片的设计与编程,实现电路设计所确定的算法和电路形式。即设计ASIC。芯片级的基本组成是处理器、存储器、各种接口、时序控制器等。设计者首先根据系统设计的功能模块划分并进行描述,把功能模块放到芯片中进行设计,再用它们的连接来构成整个芯片,从而用单片或几片FPGA/CPLD实现系统的主要功能。 (4)电路板级设计电路板级设计简称PCB设计,它是在芯片设计的基础上,通过对芯片和其他电路元件之间的连接,把各种元器件组合起来构成完整的电路系统;并且依照电路性能、机械尺寸、器件封装形式、工艺及环境要求,确定电路板的尺寸、形状,进行元器件的布局、布线。(5)电路调试和系统调试数字系统设计必须进行电路调试和系统调试,其目的是检查设计中存在的问题。当然,随着EDA技术的发展,新的技术和工具总是引入新的方法,电路和系统仿真成为系统设计的主要手段,电路调试和系统调试在某种程度上前移,使最后的硬件调试变的简单和容易。但是,电路和系统的硬件调试是不可缺少的步骤。 (6)结构设计结构设计包括机箱和面板设计。结构设计属于工艺和工业造型的问题,目的是获得较好的电气、机械性能和美观的外形。一般采用计算机辅助工艺规划(CAPP)、机械三维实体等设计软件来实现。有时这一步骤与其它设计步骤并行进行。12、 什么叫功能仿真?什么叫模拟仿真?、两者有什么区别?答:功能仿真又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功能仿真来验证一个项目的逻辑功能是否正确。时序仿真又称模拟仿真或后仿真,是在考虑设计项目具体适配器件的各种延时的情况下的一种项目验证方法。时序仿真不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。13、在数字系统设计中锁定引脚的作用是什么?答:将设计文件中的输入、输出信号定位到所选器件的具体物理管脚上。14. 简述面向FPGA/CPLD的EDA工程的设计流程。答: EDA的工程设计流程如下:第一步需要进行“设计输入”,即用一定的逻辑表达手段将设计表达出来; 第二步要进行“逻辑综合”,就是将电路的高级语言描述转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件;第三步要进行“目标器件的适配”。在选定的目标器件中建立这些基本逻辑电路及对应关系(逻辑实现); 第四步要进行目标器件的编程与下载。将由FPGA/CPLD适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片FPGA或CPLD中; 最后,要进行硬件仿真/硬件测试,验证有关设计结果与设计构想是否相符。(15简述传统设计方法与EDA方法的区别。答:传统的数字系统设计方法是自下而上的设计方法,是以固定功能元件为基础,基于电路板的设计方法,主要设计文件是电路原理图。现代数字系统的设计采用EDA方法,EDA方法是一种自上而下的设计方法,其方案设计与验证、系统逻辑综合、布局布线、性能仿真、器件编程等均由EDA工具一体化完成。传统设计方法由于它首先进行的是底层设计,因此缺乏对整个系统总体性能的把握。系统规模越大,复杂度越高,其缺点越突出:设计依赖于设计师的经验,设计依赖于现有的通用元器件;设计后期的仿真不容易实现和调试复杂;自下而上设计思想的局限;设计实现周期长,灵活性差,耗时耗力,效率低下。EDA技术极大降低了硬件电路设计难度,为电子系统设计带来如下变化:设计效率提高,设计周期缩短;设计质量提高;设计成本降低;能充分发挥设计人员的创造性,设计成果的重用性大大提高,省去了不必要的重复劳动。16. 什么叫功能仿真?什么叫模拟仿真?、两者有什么区别?答:功能仿真又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功能仿真来验证一个项目的逻辑功能是否正确。时序仿真又称模拟仿真或后仿真,是在考虑设计项目具体适配器件的各种延时的情况下的一种项目验证方法。时序仿真不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。六、解释程序 (30分)要求:1 解释带有下划线的语句。2 画出该程序的引脚示意图。3 说明该程序逻辑功能。程序一library ieee; 定义库 use ieee.std_logic_1164.all;ENTITY mux21 is 定义实体 port(a,b,s:in bit; y:out bit); end mux21a; architecture one of mux21 is 定义结构体 begin yb then temp:=a; else temp:=b; end if; return temp; 返回temp end max; 函数体结束 beginout1=max(dat1,dat2); 调用函数max( )将值返回out1 out2=max(dat3,dat4); end on

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论