Modelsim的功能仿真和时序仿真_第1页
Modelsim的功能仿真和时序仿真_第2页
Modelsim的功能仿真和时序仿真_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

Modelsim的功能仿真和时序仿真FPGA设计流程包括设计输入,仿真,综合,生成,板级验证等很多阶段。在整个设计流程中,完成设计输入并成功进行编译仅能说明设计符合一定的语法规范,并不能说明设计功能的正确性,这时就需要通过仿真对设计进行验证。在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与特定的器件有关,又包含了器件和布线的延时信息,主要验证程序在目标器件中的时序关系。在有些开发环境中,如XilinxISE中,除了上述的两种基本仿真外,还包括综合后仿真,转换(post-translate)仿真,映射后(post-map)仿真等,这样做完每一步都可进行仿真验证,从而保证设计的正确性。ModelSim是MentorGraphics子公司MentorTechnology的产品,是当今最通用的FPGA仿真器之一。ModelSim功能强大,它支持FPGA设计的各个阶段的仿真,不仅支持VHDL仿真,Verilog仿真,而且支持VHDL和Verilog混合仿真。它不仅能做仿真,还能够对程序进行调试,测试代码覆盖率,对波形进行比较等。ModelSim有很多版本,像ModelSim/SE是首要版本,除此之外还有ModelSim/XE和ModelSim/AE,分别是为Xilinx公司和Altera公司提供的OEM版,其中已包含各公司的库文件,故用特定公司OEM版进行仿真时就不需编译该公司的库了。用ModelSim进行功能仿真进行功能仿真首先要检查设计的语法是否正确;其次检查代码是否达到设计的功能要求。下文主要介绍仿真步骤和测试激励的加载。仿真步骤(1)建立库并映射库到物理目录因为用ModelSim进行仿真是建立在仿真库的基础上的(此处进行的是功能仿真,因而不用编译特定厂商的库),所以首先要建立库并把库映射到实际的物理路径。通常用户编译的文件都放在work库中,所以必须先建立work库。有两种方法建立并映射库,第一种方法是通过图形界面,在菜单Design→CreateaNewLibrary弹出对话框,如图1所示。在LibraryName中输入work,如果建立其它库,可以输入其它名字。LibraryMapto是映射的物理路径。第二种方法是用命令行的形式,建立库用ModelSim>vlib<库名>,映射库用ModelSim>vmap,如建立并映射库work,就可以在ModelSim主窗口命令提示符下输入vlibworkvmapworkwork(2)编译源代码该步骤主要检查源文件的语法错误。实现方法有两种,一是通过菜单Design→Compile,出现选择源文件对话框,选择要编译的源文件,编译即可;二是通过命令行方式,这一步对于VHDL和Verilog所使用的命令是不一样的,对于VHDL代码用vcom-work.vhd.vhd,对于Verilog代码用vlog-work.v.v,文件按出现的先后顺序编译,且支持增量编译。编译后的文件会放在缺省当前work库中。(3)启动仿真器该步骤主要是把所有仿真的文件加载到当前的仿真环境中。实现的方法两种,一是通过菜单Design→LoadDesign,出现加载对话框,选择要仿真的程序即可;二是通过命令行的形式vsim-lib,这条命令对于VHDL和Verilog都一样。(4)执行仿真该步骤是正式执行仿真了,在仿真前最重要的一个步骤就是加载激励,如要对下面的加法器进行仿真,加法器实体说明如下:entityAddisport(D1:instd_logic_vector(7downto0);--输入D2:instd_logic_vector(7downto0);--输入D0:outstd_logic_vector(7downto0);--输出CE:instd_logic;-使能,低有效Clk:instd_logic);--时钟endAdd;测试激励的加载激励的加载有四种方法:(1)命令行方式这种方法是通过在命令行下直接输入命令给信号加载激励,然后进行仿真。如要对上面的加法器进行仿真,则输入如下命令:Vsim–tpswork.add//加载work库中的实体add,时间分辨率为psAddwave–hexD1Addwave–hexD2Addwave–hexD0AddwaveceAddwaveclk//把信号加载到波形窗口,hex表示以16进制显示Forcece0//对ce加激励为0Forceclk00,125–r50//对clk加载激励ForceD116#2//对D1加载16进制数2ForceD216#1//对D2加载16进制数1Run100//运行100个时间单位如果要仿真其它数据,在命令行中改变激励就可以了,仿真的结果如图2所示。(2)宏文件法这种方法相当于

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论