《数字电子技术与应用项目教程》课件全套 宁慧英 任务1-7 认识数字量和逻辑门电路- 认识A-D与D-A转换技术_第1页
《数字电子技术与应用项目教程》课件全套 宁慧英 任务1-7 认识数字量和逻辑门电路- 认识A-D与D-A转换技术_第2页
《数字电子技术与应用项目教程》课件全套 宁慧英 任务1-7 认识数字量和逻辑门电路- 认识A-D与D-A转换技术_第3页
《数字电子技术与应用项目教程》课件全套 宁慧英 任务1-7 认识数字量和逻辑门电路- 认识A-D与D-A转换技术_第4页
《数字电子技术与应用项目教程》课件全套 宁慧英 任务1-7 认识数字量和逻辑门电路- 认识A-D与D-A转换技术_第5页
已阅读5页,还剩421页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

任务1逻辑笔的设计与制作——认识数字量和逻辑门电路教学目录1.1数制和码制1.2逻辑代数121.3逻辑门电路31.4集成门电路的测试41.5逻辑笔的设计与制作5

21.1

数制和码制1.1.1数字电路概述模拟量:它的变化在时间上和数值(幅度)上都是连续的,如电压量、温度值等。我们把表示模拟量的信号叫模拟信号,把工作在模拟信号下的电路称作模拟电路。数字量:它的变化在时间上和数值上都是离散的,或说其变化是发生在一系列离散的瞬间,如产品的数目、运动员的号码等。我们把表示数字量的信号叫数字信号,把工作在数字信号下的电路称作数字电路。1.1

数制和码制1.1.1数字电路概述相比于模拟电路,数字电路具有以下特点:1)集成度高。2)工作可靠性好、精度高、抗干扰能力强。采用二进制代码,工作时只需判断电平高低或信号有无,电路实现简单,可靠性高,抗干扰技术容易实现。3)存储方便、保存期长、保密性好。数字存储器件和设备种类较多,存储容量大,性能稳定,同时数字信号的加密处理方便可靠,不易丢失和被窃。4)数字电路产品系列多,品种齐全,通用性和兼容性好,使用方便。1.1

数制和码制1.1.2数制1.几种常见数制的表示方法数制就是计数的方法,具体地说,就是把多位数码中每一位的构成方法和进位规则称为数制。常见的数制有十进制、二进制、八进制和十六进制等。(1)十进制用0、1、2、3、4、5、6、7、8、9十个数码代表一位十进制数的十个不同状态,基数是10,进位规则为“逢十进一”。例如,十进制数169可写为:1.1

数制和码制1.1.2数制(2)二进制二进制数的每位只有0和1两个数码,基数为2,进位规则为“逢二进一”。二进制数是数字电路中最基本的数制。例如,二进制数1011可为:

(3)十六进制十六进制数的每位有0、1、2、3、4、5、6、7、8、9以及A(10)、B(11)、C(12)、D(13)、E(14)和F(15)十六个数码,基数是16,进位规则为“逢十六进一”。例如,1.1

数制和码制1.1.2数制(4)八进制基数是8,进位规则为“逢八进一”。例如2.不同进制数之间的转换(1)二进制、八进制、十六进制数转换为十进制数

只要将N进制数按权展开,求出其各位加权系数之和,则得相应的十进制数。位权Ni基数1.1

数制和码制1.1.2数制(2)十进制数转换为二进制、八进制、十六进制数

将十进制正整数转换为N进制数可以采用除R倒取余法,R代表所要转换成的数制的基数。转换步骤:

第一步:把给定的十进制数[N]10除以R,取出余数,即为最低位数的数码K0。

第二步:将前一步得到的商再除以R,再取出余数,即得次低位数的数码K1。

以下各步类推,直到商为0为止,最后得到的余数即为最高位数的数码Kn-1。1.1

数制和码制1.1.2数制【例1-1】将(76)10转换成二进制数。解:则(76)10=(1001100)2。除2倒取余1.1

数制和码制1.1.2数制1.1

数制和码制1.1.2数制【例1-2】将(76)10转换成八进制数。解:则(76)10=(114)8。【例1-3】将(76)10转换成十六进制数。解:则(76)10=(4C)16。除8倒取余除16倒取余1.1

数制和码制1.1.2数制(3)二进制数与八进制进制数之间的转换3位二进制数1位八进制数1位八进制数3位二进制数【例1-4】将(10111011.11)2转换成八进制数。解:二进制数010111011.110

八进制数273.6则(10111011.11)2=(273.6)8【例1-5】将(675.4)8转换成二进制数。八进制数675.4二进制数110111101.100则(675.4)8=(110111101.1)2“3位1组”法1.1

数制和码制1.1.2数制(4)二进制数与十六进制进制数之间的转换4位二进制数1位十六进制数1位八进制数4位二进制数。【例1-6】将(1011011.11)2转换成十六进制数。解:二进制数01011011.1100

十六进制数5B.C则(1011011.11)2=(5B.C)16【例1-7】将(21A)16转换成二进制数。十六进制数21A二进制数001000011010则(21A)16=(1000011010)2“4位1组”法1.1

数制和码制1.1.3码制二进制代码:采用一定位数的二进制数码来表示各种文字、符号信息。码制:编制代码的规则。

ASCII码:美国信息交换标准代码二-十进制码(BCD码):用四位二进制数来表示一位十进制数

数字电路中用得最多的是BCD码,其编码方式有很多种。一般分有权码和无权码。例如8421BCD码是一种有权码,8421就是指在用4位二进制数码表示1位十进制数时,每一位二进制数的权从高位到低位分别是8、4、2、1。余3码属于无权码。十进制数用余3码表示,要比8421BCD码在二进制数值上多3,故称余三码。表1-1几种常见的BCD编码十进制数有权码无权码8421码5421码2421码余格雷码0000000000000001100001000100010001010000012001000100010010100113001100110011011000104010001000100011101105010110001011100001116011010011100100101017011110101101101001008100010111110101111009100111001111110011011.2

逻辑代数逻辑变量和逻辑函数

逻辑变量表示的是事物的两种对立的状态,只允许取两个不同的值,分别是逻辑0和逻辑1。这里0和1不表示具体的数值,只表示事物相互对立的两种状态。逻辑变量和普通代数中的变量一样,可以用字母A、B、C、…等来表示。

逻辑函数Y是由逻辑变量A、B、C、…经过有限个基本逻辑运算确定的。在数字逻辑电路中,如果输入变量A、B、C…的取值确定后,输出变量Y的值也被唯一确定了,那么我们就称Y是A、B、C、…的逻辑函数。逻辑函数和逻辑变量一样,都只有逻辑0和逻辑1两种取值。1.2.1逻辑代数中的常用运算1.基本逻辑运算

数字电路中,利用输入信号来反映“条件”,用输出信号来反映“结果”,于是输出与输入之间的因果关系即为逻辑关系。逻辑代数中,基本的逻辑关系有三种,即与逻辑、或逻辑、非逻辑。相对应的基本运算有与运算、或运算、非运算。实现这三种逻辑关系的电路分别叫做与门、或门、非门。1.2

逻辑代数

与运算也称逻辑乘,逻辑表达式为

或1.2.1逻辑代数中的常用运算1.基本逻辑运算(1)与逻辑和与运算

当决定某一事件的所有条件都同时具备时,事件的结果才会发生。这种因果关系就称为与逻辑。

表1-2与逻辑真值表图1-1与逻辑电路图输入输出ABY000110110001或运算也称逻辑加,逻辑表达式为

1.2.1逻辑代数中的常用运算1.基本逻辑运算(2)或逻辑和或运算

当决定某一事件的所有条件都同时具备时,事件的结果才会发生。这种因果关系就称为或逻辑。

图1-3或逻辑电路图图1-4或门逻辑符号表1-3或逻辑真值表输入输出ABY0001101101111.2.1逻辑代数中的常用运算1.基本逻辑运算(3)非逻辑和非运算图1-5非逻辑电路图

当条件不成立时,结果就会发生,条件成立时,结果反而不会发生。这种因果关系称为非逻辑。非运算也称反运算,逻辑表达式为图1-6非门逻辑符号表1-4非逻辑真值表输入输出AY01101.2.1逻辑代数中的常用运算2.复合逻辑运算(1)与非逻辑运算与非逻辑运算是与运算和非运算的复合运算,即先进行与运算,而后再进行非运算。输入输出ABY000110111110表1-5与非逻辑真值表与非运算的逻辑表达式为图1-7与非门逻辑符号1.2.1逻辑代数中的常用运算2.复合逻辑运算(2)或非逻辑运算或非逻辑运算是或运算和非运算的复合运算,即先进行或运算,而后再进行非运算。输入输出ABY000110111000表1-6或非逻辑真值表或非运算的逻辑表达式为图1-8或非门逻辑符号1.2.1逻辑代数中的常用运算2.复合逻辑运算(3)与或非逻辑运算与或非逻辑运算是与运算、或运算和非运算三种逻辑运算的复合运算。与或非运算的逻辑表达式为图1-9与或非门逻辑结构图图1-10与或非门逻辑符号1.2.1逻辑代数中的常用运算2.复合逻辑运算(4)异或逻辑运算

异或逻辑运算是只有两个输入变量的运算。当输入变量A、B相异时,输出Y为1;当A、B相同时,输出Y为0。表1-7异或逻辑真值表异或运算的逻辑表达式为输入输出ABY000110110110图1-11异或门逻辑符号1.2.1逻辑代数中的常用运算2.复合逻辑运算(5)同或逻辑运算

同或逻辑运算是只有两个输入变量的运算。当输入变量A、B相同时,输出Y为1;当A、B相异时,输出Y为0。表1-7异或逻辑真值表异或运算的逻辑表达式为输入输出ABY000110111001图1-11同或门逻辑符号1.2.2逻辑代数的基本公式及定理1.基本公式(1)常量和变量公式1)0、1律:2)互补律:1)交换律:(2)变量和变量公式2)结合律:1.2.2逻辑代数的基本公式及定理(2)变量和变量公式1.基本公式3)分配律:4)重叠律:5)非非律:6)反演律(摩根定理):1.2.2逻辑代数的基本公式及定理(1)代入定理2.基本定理在任何一个含有变量的逻辑等式中,如果用另外一个逻辑函数式来代替式中所有的位置,则等式仍然成立,这就是代入定理。对复杂逻辑函数式进行运算时,要遵守普通代数的运算规则,即“先括号、然后乘法、最后加法”的运算优先次序。

【例1-8】已知等式成立,试证明等式也成立。1.2.2逻辑代数的基本公式及定理(1)代入定理2.基本定理解:用Y=B+C代替等式中的变量B,根据代入定理可得根据代入定理可以推出反演律对任意多个变量都成立,即1.2.2逻辑代数的基本公式及定理(2)反演定理2.基本定理对于任意一个逻辑函数,若将中所有的“·”换成“+”,“+”换成“·”;所有的“1”换成“0”,“0”换成“1”;所有的原变量换成反变量,反变量换成原变量。那么得到的函数式就是的反函数,这就是反演定理。反演定理使用时要注意两个方面:(1)遵守“先括号、然后乘、最后加”的运算优先次序。(2)不属于单个变量上的非号做变换时,仍保持不变。反演定理的应用:利用反演定理求函数式的反函数式。1.2.2逻辑代数的基本公式及定理2.基本定理(2)反演定理【例1-9】求下列逻辑函数的反函数:①

;②

。解:①

②由于利用反演定理可以直接写出原函数式的反函数式,因此对于复杂的函数,用反演定理来求函数式的反函数式要简单许多,且不易出错,更能显示其优越性。1.2.2逻辑代数的基本公式及定理2.基本定理(3)对偶定理对偶式的求法是:对于任意一个逻辑函数,若将中所有的“·”换成“”,“”换成“·”;所有的“1”换成“0”,“0”换成“1”。那么得到的函数式就是的对偶式。和互为对偶式。对偶定理在使用时也要注意两个方面:(1)遵守“先括号、然后乘、最后加”的运算优先次序。(2)所有的原、反变量保持不变。

【例1-10】求函数的对偶式。解:根据对偶式的求法,得Y的对偶式为1.2.2逻辑代数的基本公式及定理2.基本定理(3)对偶定理1.2.2逻辑代数的基本公式及定理3.几个常用公式(1)并项公式(2)吸收公式(3)消去公式(4)多余项公式(5)异或与同或公式1.2.3

逻辑函数的表达1.逻辑函数的表示方法常用的逻辑函数表示方法有逻辑真值表(简称真值表)、逻辑函数式(又称逻辑式或函数式)、逻辑电路图(逻辑图)、卡诺图等,它们各有特点,又相互联系,相互间还可以进行转换。(1)真值表对一个逻辑函数来说,如将输入变量所有可能取值下对应的输出值用表格的形式罗列出来,即可得到该函数的真值表。真值表能直观地反映逻辑变量的取值和函数值之间的对应关系,一个函数的真值表具有唯一性,直观、明了。但变量数比较多时,取值的组合就太多,应用起来太繁琐。1.2.3

逻辑函数的表达1.逻辑函数的表示方法(2)逻辑函数式以逻辑变量作为输入,以运算结果作为输出,输出结果由输入变量的取值来确定。逻辑函数式有多种表示形式。逻辑函数式形式简洁,书写方便,容易利用公式、定理来进行相应的运算、化简等,但是缺乏唯一性。(3)逻辑图将逻辑函数中各变量的逻辑关系用相应的逻辑电路符号表示出来,所构成的图称为逻辑图。逻辑图与工程实际比较接近,根据逻辑图实现具体电路是较容易的,但逻辑图也没有唯一性。1.2.3

逻辑函数的表达1.逻辑函数的表示方法(4)卡诺图

诺图是根据真值表按一定规则画出的一种方格图,卡诺图有真值表的特点。卡诺图在简化逻辑函数时比较直观、容易掌握。它的缺点在于变量增加后,用卡诺图表示逻辑函数将变得较复杂,逻辑函数的简化也显得困难。(5)波形图

波形图是指能反映输出变量与输入变量随时间变化的图形,又称时序图。波形图能直观地表达出输入变量和函数之间随时间变化的规律,让我们随时观察数字电路的工作情况。1.2.3

逻辑函数的表达2.各种表示方法间的相互转换(1)真值表转换为逻辑表达式把真值表中输出为“1”的项对应的组合取出,取值为1的输入变量用原变量表示,取值为0的输入变量用反变量表示,各变量取值间用逻辑与组合在一起,构成一个乘积项,各组乘积项相加即为对应的函数式。A

B

CY000001010011100101110111000101111.2.3

逻辑函数的表达(2)逻辑函数表达式转换为真值表把函数式中各输入变量的所有取值分别代入原函数式中进行计算,将计算结果列表表示,即为对应的真值表。2.各种表示方法间的相互转换(3)逻辑函数表达式转换为逻辑图

把逻辑函数表达式中运算符号用相应的逻辑图形符号代替,并按照运算优先顺序将这些图形符号连接起来,即可得到逻辑图。(4)逻辑图转换为逻辑函数表达式

依次将逻辑图中的每个门的输出列出,一级一级列写下去,最后即可得到它的逻辑函数表达式。1.2.3

逻辑函数的表达2.各种表示方法间的相互转换【例1-11】如图1-13所示,利用单刀双掷开关来控制楼梯照明灯的电路。要求上楼时,先在楼下开灯,上楼后在楼上顺手把灯关掉;下楼时,可在楼上开灯,下楼后再把灯关掉。试用上述的五种逻辑函数的表示方法,来描述此实际的逻辑问题。图1-13例1-11电路图解:分析电路可知,只有当两个开关同时扳上或扳下时灯才亮,开关扳到一上一下时,灯就灭。设开关为输入变量,分别用A和B表示,灯为输出变量,用Y表示。用0和1来表示开关和灯的状态,规定用1表示开关上扳,用0表示开关下扳;用1表示灯亮,用0表示灯灭。

1.2.3

逻辑函数的表达2.各种表示方法间的相互转换1)列出真值表输入输出ABY0001101110012)写逻辑表达式3)画逻辑图4)画波形图1.2.4

逻辑函数的化简1.公式化简法(1)逻辑表达式的表示形式函数形式通常有与-或式、与非-与非式、或-非式、与或-非式、或非-或非式、或-与式等。广泛使用的最简形式1.2.4

逻辑函数的化简1.公式化简法(2)公式化简法的常用方法1)并项法利用公式【例1】将函数化简成最简与或式。解:化简过程如下:公式法化简就是反复利用逻辑代数的基本公式和常用公式,通过消去函数式中多余的乘积项和各乘积项中多余的因子来简化逻辑函数的。2)吸收法利用公式

【例2】化简函数。解:化简过程如下:1.2.4

逻辑函数的化简1.公式化简法(2)公式化简法的常用方法1.2.4

逻辑函数的化简1.公式化简法利用公式【例3】化简函数解:化简过程如下:3)消项法(2)公式化简法的常用方法1.2.4

逻辑函数的化简1.公式化简法(2)公式化简法的常用方法4.配项法利用公式【例4】化简函数解:化简过程如下:1.2.4

逻辑函数的化简2.卡诺图化简法(1)逻辑函数的最小项1)最小项的定义在有n个变量的函数中,如果m是包含n个因子的乘积项,且这个变量均以原变量或反变量的形式出现且仅出现一次,那么该乘积项m就定义为n变量函数的最小项。如在三变量(A、B、C)函数中,就有8个最小项,即把最小项的原变量记作1,反变量记作0,这样每个最小项表示为一个二进制数,转换成相对应的十进制数,即为最小项的编号。如三变量最小项

的编号为

2)最小项的编号1.2.4

逻辑函数的化简2.卡诺图化简法(1)逻辑函数的最小项3)最小项的性质性质一:对于输入变量的任意取值,有且仅有一个最小项的值为1。性质二:任意两个最小项的乘积为0。性质三:全体最小项之和为1。性质四:相邻的两个最小项可以合并成一项,并消去不同变量,保留相同变量。两个最小项具有相邻性,指的是两个最小项中只有一个因子不同。1.2.4

逻辑函数的化简2.卡诺图化简法(1)逻辑函数的最小项4)最小项表达式只要利用公式,就可以把任意一个逻辑函数写成最小项之和的形式。【例1-19】将三变量函数写成最小项之和的标准形式。1.2.4

逻辑函数的化简2.卡诺图化简法(2)卡诺图1)逻辑变量的卡诺图卡诺图是由2n个按几何和逻辑均相邻的原则排列起来的小方块组合而成的方块图。每一个小方块为一个单元,代表函数的一个最小项。1.2.4

逻辑函数的化简2.卡诺图化简法(2)卡诺图2)逻辑函数的卡诺图找出表达式中包含的最小项,在对应的小方块内填入1,没有包含最小项对应的小方块内填0(或者不填),得到的即是该函数的卡诺图。【例1-20】将函数用卡诺图表示。解:先求出函数的最小项之和的形式:1.2.4

逻辑函数的化简2.卡诺图化简法(3)用卡诺图化简逻辑函数卡诺图化简法就是依据最小项合并的规律,把具有相邻性的两个最小项合并成一项(用一个圆圈标示出来),消去一个因子;把四个具有相邻性的最小项合并成一项,消去两个因子,八个具有相邻性的最小项合并成一项,可以消去三个因子等等,以此类推,2n个具有相邻性的最小项合并成一项,消去n个因子。圈0得到反函数,圈1得到原函数,通常采用圈1的方法。1.2.4

逻辑函数的化简2.卡诺图化简法(3)用卡诺图化简逻辑函数卡诺图化简法的一般步骤为:合并最小项时要注意几点:1)结果的乘积项包含函数的全部最小项;2)所需要画的圈尽可能的少,或说化简后的乘积项数目越少越好;3)所画的每个圈包含的最小项越多越好,或说化简后的每个乘积项包含的因子数目越少越好。1)填“1”——画出需要化简的逻辑函数的变量卡诺图。2)圈“1”——找出所有具有相邻性的2n个最小项,用1圈出,得出对应的乘积项。3)写出最简与或表达式——将上一步得到的各乘积项相加,得到该函数的最简与或表达式。1.2.4

逻辑函数的化简2.卡诺图化简法(3)用卡诺图化简逻辑函数【例1-22】用卡诺图化简逻辑函数ABC010001111011111BAC解:1)填“1”

2)圈“1”

3)写出最简与或表达式1.2.4

逻辑函数的化简2.卡诺图化简法(3)用卡诺图化简逻辑函数【例1-23】用卡诺图化简逻辑函数1.2.4

逻辑函数的化简2.卡诺图化简法(3)用卡诺图化简逻辑函数【例1-24】用卡诺图化简逻辑函数1.2.4

逻辑函数的化简2.卡诺图化简法(4)具有约束项的逻辑函数的化简

逻辑函数的输入变量之间有一定的制约关系,我们称为约束;这样一组输入变量称为具有约束的变量。把相应的一组变量称为具有约束的一组变量。在逻辑函数表达式中通常采用约束条件来表示。约束项、任意项和无关项

例如,在数字系统中,如果用A、B、C三个变量分别表示加、乘、除三种操作,由于机器每次只进行三种操作的一种,所以A、B、C为约束变量,由其决定的逻辑函数称为有约束的逻辑函数。约束条件为:或1.2.4

逻辑函数的化简2.卡诺图化简法(4)具有约束项的逻辑函数的化简约束项、任意项和无关项约束条件中所包含的最小项,也就是不可能出现的变量组合项,我们称之为约束项。由于约束项受到制约,它们对应的取值组合不会出现,因此,对于这些变量取值组合来说,其函数值是0还是1对函数本身没有影响,在卡诺图中可用“×”表示,也就是说即可以看做是0,又可以看做是1,所以也称任意项或无关项。1.2.4

逻辑函数的化简2.卡诺图化简法(4)具有约束项的逻辑函数的化简1)将函数化为最小项之和的形式;2)画出函数的卡诺图。其中的约束项用“×”填入;3)合并最小项时,根据需要可以把约束项“×”当作“1”处理,也可以当作“0”处理;4)得到化简结果。通过卡诺图化简可得到最简逻辑表达式为1.2.4

逻辑函数的化简2.卡诺图化简法(4)具有约束项的逻辑函数的化简【例1-25】用卡诺图化简逻辑函数,约束条件为1.2.4

逻辑函数的化简2.卡诺图化简法(4)具有约束项的逻辑函数的化简【例1-26】化简逻辑函数1.3

逻辑门电路1.3.1逻辑门电路概述用以实现基本逻辑运算和复合逻辑运算的电子电路称为逻辑门电路。常用的逻辑门电路有与门、或门、非门、与非门、或非门、与或非门、异或门和同或门等。它们是组成各种数字系统的基本单元电路。半导体二极管、晶体管、场效应晶体管等开关器件可以用来构成各种逻辑门电路,但用得更多的还是集成逻辑门电路。集成逻辑门电路主要有TTL门电路和CMOS门电路。通常,各种逻辑门电路的输入和输出都只表示为高电平UH和低电平UL两个对立的状态,可用逻辑1和逻辑0来表示。在数字电路中,如果用1表示高电平,用0表示低电平,称为正逻辑;反之,用0表示高电平,用1表示低电平,称为负逻辑。1.3

逻辑门电路1.3.2分立元器件门电路1.二极管与门电路(1)二极管的开关特性图1-24二极管的静态开关特性1.3

逻辑门电路1.3.2分立元器件门电路1.二极管与门电路(1)二极管的开关特性图1-25二极管的动态开关特性图1-26二极管与门电路1.3

逻辑门电路1.3.2分立元器件门电路1.二极管门电路设两输入端A、B输入的高电平信号UIH=3.7V,输入的低电平信号UIL=0UA/VUB/VUY/V000.703.70.73.700.73.73.74.4表1-10与门电平关系表

表1-11与门真值表UA

UB

UY000010100111(2)二极管与门电路1.3

逻辑门电路1.3.2分立元器件门电路1.二极管门电路(2)二极管与门电路图1-27多输入端与门电路1.3

逻辑门电路1.3.2分立元器件门电路1.二极管门电路(3)二极管或门电路图1-28二极管或门电路设输入的高电平信号UIH=3.7V,输入的低电平信号UIL=0UA/VUB/VUY/V00003.733.7033.73.73表1-12或门电平关系表

表1-13或门真值表UA

UB

UY000011101111Y=A+B

1.3

逻辑门电路1.3.2分立元器件门电路2.晶体管门电路(1)晶体管的开关特性图1-29晶体管的静态开关特性1.3

逻辑门电路1.3.2分立元器件门电路2.晶体管门电路(1)晶体管的开关特性图1-30晶体管的动态开关特性1.3

逻辑门电路1.3.2分立元器件门电路2.晶体管门电路(2)晶体管非门电路图1-31晶体管非门电路1.3

逻辑门电路1.3.2分立元器件门电路2.晶体管门电路(3)反相器的带负载能力图1-32带灌电流负载图1-33带拉电流负载当Y=0时,带灌电流负载,必须满足iC=IRC+iL<βiB。当Y=1时,带拉电流负载,必须满足UOH=UCC-iLRC

≥USH。1.3

逻辑门电路1.3.2分立元器件门电路3.组合逻辑门电路(2)与非门电路图1-34与非门电路及其逻辑符号1.3

逻辑门电路1.3.2分立元器件门电路3.组合逻辑门电路(2)或非门电路图1-34与非门电路及其逻辑符号1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(1)TTL与非门TTL集成电路全称为晶体管-晶体管集成电路,具有可靠性高、速度快、抗干扰能力强等突出优点。TTL电路有不同系列的产品,如54/74通用系列、54H/74H高速系列、54S/74S肖特基系列和54LS/74LS低功耗肖特基系列,其中54系列是74系列对应的军品。TTL的基本电路形式是与非门,74LS00是一种四二输入的与非门。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路1)TTL与非门的电压传输特性

c)测试方法①输入端

A.关门电平UOFF

特性参数:B.开门电平UONC.阈值电压UTH②输出端

A.输出高电平电压UOH

特性参数:B.输出低电平电压UOL③噪声容限UN:1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路1)TTL与非门的电压传输特性几个重要参数:关门电平UOFF:指输出电压下降到UOHmin时对应的输入电压。从电压传输特性上看UOFF≈1.3V,产品规定UILmax(UOFF)=0.8V。开门电平UON:指输出电压上升到UOLmax时对应的输入电压。从电压传输特性上看UON略大于1.3V,产品规定UIHmin(UON)=2V。阈值电压UTH从电压传输特性曲线上看,近似为UTH≈UOFF≈UON。UTH是一个很重要的参数,即ui<UTH,与非门开门,输出低电平;ui>UTH,与非门关门,输出高电平。UTH又常被形象化地称为门槛电压。UTH的值为1.3V~1.4V。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路1)TTL与非门的电压传输特性几个重要参数:输出高电平电压UOH理论值为3.6V产品规定输出高电压的最小值UOH(min)=2.4V输出低电平电压UOL理论值为0.3V产品规定输出低电压的最大值UOL(max)=0.4V噪声容限UN:表示门电路在输入电压上允许叠加多大的噪声电压下仍能正常工作,噪声容限又称抗干扰能力。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路2)TTL与非输入端负载特性关门电阻ROFF:RI减小到使ui下降到UOFF时所对应的RI值。开门电阻RON:RI增大到使ui上升到UON时所对应的RI值。74LS00的开门电阻RON约为10kΩ,RON>ROFF。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路2)TTL与非门输入端负载特性【例1-27】某温度控制电路如图1-40所示,Rt为热敏电阻,求继电器K吸合的条件。图1-40例1-27图解:S闭合时,门2输出低电平,VT截止,K不吸合。S断开时,门1的输出电平由热敏电阻Rt决定,当Rt≥RON时,门1处于开门状态,输出为低电平,门2输出为高电平,VT饱和,继电器K吸合。74LS00的开门电阻RON约为10kΩ,如果该热敏电阻为负温度系数,只有当温度降低到使热敏电阻Rt达到10kΩ以上时,继电器K才吸合。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路3)TTL与非门输出端负载特性图1-41TTL与非门的输出负载特性与非门输出低电平UOL时,带灌电流负载。与非门输出高电平UOH时,带拉电流负载。解:当B=0时,不论A为什么状态,

L=1,Y=0,信号A不能通过。当B=1时,,

信号A能通过。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路3)TTL与非门输出端负载特性【例1-28】74LS00与非门构成的电路如图1-42a所示,A、B波形如图1-42b所示,试画出其输出波形。图1-42例1-28图,输出波形如图1-42c所示。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路4)TTL与非门平均传输延迟时间一般TTL与非门的传输延迟时间为几纳秒至十几纳秒,74LS00的tpd=9.5ns。使用时,需在输出端Y和之间VCC外接一个负载电阻RL,如图1-44a所示为集电极开路与非门的逻辑符号,按图1-44b工作,就可实现与非关系,即1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(2)TTL集电极开路门(OC门)图1-44TTL集电极开路与非门。

1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(2)TTL集电极开路门(OC门)集电极开路与非门的主要应用:1)实现电平转换Y输出高电平的值为VCC2)实现线与逻辑1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(2)TTL集电极开路门(OC门)集电极开路与非门的主要应用:3)驱动发光二极管发光OC门还可以用来控制其他显示器件。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(3)TTL三态输出门(TSL门)

三态输出门是指能输出高电平、低电平和高阻态三种工作状态的门电路,是在普通门电路的基础上,附加使能控制端和控制电路构成的,其逻辑符号如图1-47所示。图1-47TTL三态与非门逻辑符号三态门常用的电路形式还有三态非门。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(3)TTL三态输出门(TSL门)三态门在计算机总线结构中有着广泛的应用。下图所示为三态门组成的单向总线,可实现信号的分时传送。为了保证任一时刻只有一个三态门传输数据,在控制信号装置中,要求从工作态转为高阻态的速度应高于从高阻态转为工作态的速度,否则,就可能有两个门同时处于工作状态的瞬间。1.3

逻辑门电路1.3.3集成逻辑门电路1.TTL集成逻辑门电路(4)TTL门电路的使用注意事项1)输出端:①不允许直接并联使用(三态门和OC门除外);②不能与地或电源直接相连;③输出端的负载数不能超过其扇出系数。2)对闲置输入端的处理:①对于与门和与非门的闲置输入端可接1,或门和或非门接低0;②如果前级驱动能力允许,可将闲置端与有用输入端并联使用;③外接干扰小时,与门和与非门的闲置输入端可悬空。3)电源电压不能超出规定范围(1±5%)5V。4)焊接时烙铁的功率一般不允许超过25W。1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路CMOS逻辑门电路是继TTL之后发展起来的另一种应用广泛的数字集成电路。由于它功耗低,抗干扰能力强,工艺简单,几乎所有的大规模、超大规模数字集成器件都采用CMOS工艺。CMOS电路由PMOS管和NMOS管组成的互补电路,具有一系列不可比拟的优点,所以,就其发展趋势看,CMOS电路有可能超越TTL成为占统治地位的逻辑器件。常见的CMOS集成逻辑门电路主要有4000系列、74HC系列、74HCT系列、74AC系列和74ACT系列等。其中74HCT系列与TTL器件的电压完全兼容,可直接替代使用。1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路(1)CMOS反相器MOS管具有开关特性,在CMOS电路中只使用增强型MOS管。以增强型NMOS为例,其开关等效电路如图1-49所示。图1-49NMOS管的开关特性1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路(1)CMOS反相器图1-50CMOS反相器图1-51CMOS反相器电压传输特性UOL=0UOH=VDD1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路(1)CMOS反相器图1-52CD4069引脚排列CMOS反相器中常用的有六反相器CD4069,其内部由六个反相器单元电路构成,其引脚排列如图1-52所示。1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路(2)其它功能CMOS门电路图1-53CD4011引脚排列图1-54CD4012引脚排列常用的CMOS门电路的系列产品CD4011(四二输入与非门)、CD4012(二四输入与非门),CD4001(四二输入或非门)、CD4002(二四输入或非门),CD4027(四二输入异或门)等。1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路(2)其它功能CMOS门电路按电路结构不同,CMOS门电路也有区别于一般门电路的CMOS漏极开路门(OD门)和CMOS三态门,使用方法和应用场合分别与OC门和TTL三态门相同。另外,在CMOS门电路中还有CMOS传输门,如图1-55所示。图1-50CMOS反相器1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成逻辑门电路(3)

CMOS门电路的主要参数1)输出高电平UOH:UOH=VDD,UOHmin=0.9VDD;

输出低电平UOL:UOL=0,UOLmax=0.01VDD。2)阈值电压UTH:UTH=VDD/2。3)抗干扰容限:CMOS反相器的UOFF=0.45VDD,UON=0.55VDD,其高、低电平噪声容限均达0.45VDD。其他CMOS门电路的噪声容限一般也大于0.3VDD,VDD越大,其抗干扰能力越强。4)静态功耗:很小,一般小于1mW/门。5)传输延迟时间tpd:一般为几十纳秒/门,比TTL门电路(十几纳秒/门)高,但74HC系列工作速度已与TTL门相当。6)扇出系数大:由于CMOS电路输出电阻比较小,故当连接线较短时,CMOS电路的扇出系数在低频时可达到50以上。1.3

逻辑门电路1.3.3集成逻辑门电路2.CMOS集成门电路(4)

CMOS门电路的使用注意事项1)输出端:①不允许直接与电源VDD或与地相连。这会使输出级的NMOS管和PMOS管可能因电流过大而损坏。②为提高电路的驱动能力,可将同一集成芯片上的电路的输入端、输出端并联使用。③当CMOS电路输出端接大容量负载电容时,需在输出端和电容之间串接一个限流电阻,保证流过管子的电流不超过允许值。2)对闲置输入端的处理:①对于与门和与非门的闲置输入端可接高电平,或门和或非门接低电平;②闲置输入端不宜与有用输入端并联使用,因为这样会增大输入电容,从而使电路的工作速度下降;③闲置输入端不允许悬空3)4000系列的电源电压可在3~18V的范围内选择,HC系列的电源电压可在2~6V的范围内选用,HCT系列的电源电压在4.5~5.5V的范围内选用。4)焊接时烙铁功率一般不超过25W,必须接地良好,必要时利用余热焊接。1.4

集成门电路的测试1.训练目的2.设备与器件1)掌握TTL和CMOS集成门电路的逻辑功能和器件的使用规则。2)学会TTL和CMOS集成门电路传输特性的测试方法。5V直流电源、逻辑电平开关、逻辑电平显示器、直流数字电压表、双踪示波器、连续脉冲源、CD4011、CD4001、CD4070、74LS00、电位器RP(10kΩ)。3.电路原理图1-58电压传输特性测试电路图1-59与非门对脉冲的控制作用1.4

集成门电路的测试4.训练内容1)验证各门电路的逻辑功能,判断其好坏。验证与非门CD4011、74LS00及或非门CD4001逻辑功能。输

出ABY1Y2Y3Y400011011图1-60与非门逻辑功能测试表1-16与非门逻辑功能测试结果1.4

集成门电路的测试4.训练内容2)观察与非门、与门、或非门对脉冲的控制作用。选用与非门按图1-59所示接线,将一个输入端接连续脉冲源(频率为20kHz),用示波器观察两种电路的输出波形,记录之。1.4

集成门电路的测试4.训练内容3)按图1-61连接训练电路并测试真值表,记入表1-18中。A

B

CY1Y2000001010011100101110111表1-17测试真值表图1-61组合逻辑电路测试1.4

集成门电路的测试5.训练总结1)总结与非门、与门、或非门对脉冲的控制作用。2)根据表1-17测试结果,绘出74LS00和CD4011的传输特性曲线,并比较。3)写出训练总结报告。1.5

逻辑笔的设计与制作1.5.1逻辑笔的设计逻辑笔是测量数字电路较简便的工具。使用逻辑笔可快速测量出数字电路中有故障的芯片。图1-62所示为利用六反相器CD4069与发光二极管组成的简易逻辑笔电路,发光二极管用于提供以下3种逻辑状态指示:绿色发光二极管亮时,表示逻辑低电位。红色发光二极管亮时,表示逻辑高电位。如果红、绿两色发光二极管同时闪烁,则表示有脉冲信号存在。逻辑笔也称逻辑检测探头,它是数字电路中检测各点逻辑状态的常用工具。数字电路中的逻辑状态一般分三种,即高电平“1”、低电平“0”和“高阻态”(悬空)。逻辑状态的测试结果可由发光二极管来显示,也可用发声器来提示,还可用数码管来显示。1.5

逻辑笔的设计与制作1.5.1逻辑笔的设计图1-62用CD4069与发光二极管组成的逻辑笔电路1.5

逻辑笔的设计与制作1.5.2元器件的选择与组装图1-62中,反相器可用CD4069(六反相器),其管脚排列如图1-52所示,用一个芯片即可;二极管VD1和VD2无特殊要求,可选1N4148;限流电阻取R3=R4=100Ω;脉冲展宽电路中取R1=R2=1kΩ,C1=C2=100μF,电阻和电容取值不同,输出脉冲宽度不同;探针P可用万用表表笔棒代替;电路所需直流电源VDD可取自测量电路,可用一对鳄鱼夹(或表笔棒)引出。元器件选择好后即可在线路板上进行焊接,焊接完成核对无误后,即可进行通电测试,一般情况下,只要元器件焊接正确,无需调试即可成功。任务2数字钟译码显示与整点报时电路的设计与制作——认识组合逻辑电路教学目录

1052.1组合逻辑电路的分析与设计方法2.2编码器2.3译码器2.4数据选择器2.5数据分配器2.6数值比较器2.7加法器2.8常用组合逻辑电路的应用训练2.9数字钟译码显示电路与整点报时电路的设计与制作2.1组合逻辑电路的分析与设计方法数字电路中,如一个电路在任一时刻的输出状态只取决于该时刻输入状态的组合,而与电路原有状态没有关系,则该电路称为组合逻辑电路。它没有记忆功能,这是组合逻辑电路功能上的特点。图2-1组合逻辑电路的示意框图在电路结构上,组合逻辑电路主要由门电路组成,没有记忆功能,只有从输入到输出的通路,没有从输出到输入的回路。组合逻辑电路的功能除可以用逻辑函数表达式来描述外,还可以用真值表、卡诺图、逻辑图等方法进行描述。2.1组合逻辑电路的分析与设计方法分析:设计:给定逻辑图得到逻辑功能分析

给定逻辑功能画出逻辑图设计2.1组合逻辑电路的分析与设计方法2.1.1组合逻辑电路的分析方法组合逻辑电路的分析主要是根据给定的逻辑电路分析出电路的逻辑功能。组合逻辑电路的一般分析步骤如下:1)根据逻辑图,由输入到输出逐级写出逻辑表达式。2)将输出的逻辑表达式化简成最简与或表达式。3)根据输出的最简与或表达式列出真值表。4)根据真值表分析出电路的逻辑功能。逻辑电路图逻辑表达式最简与或表达式列出真值表分析逻辑功能2.1组合逻辑电路的分析与设计方法2.1.1组合逻辑电路的分析方法【例2-1】试分析图2-2所示逻辑电路的功能。图2-2例2-1电路图图2-2例2-1电路图(3)分析逻辑功能。由真值表可知,当变量A、B相同时,电路输出为0,当变量A、B不同时,电路输出为1,所以这个电路是一个异或门。(2)由表达式列出真值表,见表2-1。解:(1)由图2-2逐级写出逻辑表达式并化简逻辑函数,可得2.1组合逻辑电路的分析与设计方法2.1.1组合逻辑电路的分析方法表2-1例2-1真值表输

入输出ABY0

00

11

01

10110【例2-2】一个双输入端、双输出端的组合逻辑电路如图2-3所示,分析该电路的功能。2.1组合逻辑电路的分析与设计方法2.1.1组合逻辑电路的分析方法图2-3例2-2电路图图2-3例2-2电路图2.1组合逻辑电路的分析与设计方法2.1.1组合逻辑电路的分析方法解:(1)由图2-3逐级写出逻辑表达式并化简逻辑函数,可得(2)由表达式列出真值表,见表2-2。

(3)分析逻辑功能。由真值表可知,A、B都是0时,S为0,C也为0;当A、B有1个为1时,S为1,C为0;当A、B都是1时,S为0,C为1。这种电路可用于实现两个1位二进制数的相加,实际上它是运算器中的基本单元电路,称为半加器。输

入输

出A

BSC0

00

11

01

10

01

0100

1表2-1例2-1真值表组合逻辑电路的设计,就是根据给定逻辑功能的要求,设计出实现这一要求的最简的组合电路。一般方法是:1)对给定的逻辑功能进行分析,确定出输入变量、输出变量以及它们之间的关系,并对输入和输出变量进行赋值,即确定什么情况下为逻辑1和逻辑0,这是正确设计组合逻辑电路的关键。2)根据给定的逻辑功能和确定的状态赋值列出真值表。3)根据真值表写出逻辑表达式并化简,然后转换成命题所要求的逻辑表达式。4)根据逻辑表达式,画出相应的逻辑电路图。2.1组合逻辑电路的分析与设计方法2.1.2组合逻辑电路的设计方法给定逻辑功能确定输入输出变量列出真值表写出表达式并转换画出电路图【例2-3】设计一个故障指示电路,要求的条件如下:两台电动机同时工作时,绿灯亮;其中一台发生故障时,黄灯亮;两台电动机都有故障时,则红灯亮。解:(1)确定输入和输出变量。根据题意,该故障指示电路应有两个输入变量,三个输出变量;用变量A、B表示输入,变量为1时表示电动机有故障,为0时表示无故障;用变量G、Y、R表示输出,G代表绿灯,Y代表黄灯,R代表红灯,输出变量为1代表灯亮,为0代表灯灭。(2)根据逻辑功能列出真值表,见表2-3。2.1组合逻辑电路的分析与设计方法2.1.2组合逻辑电路的设计方法表2-3例2-3真值表输入输出ABGYR00011011100010010001(3)根据真值表写出输出变量的逻辑表达式为(3)根据逻辑表达式可画出逻辑电路图,如图2-4所示。2.1组合逻辑电路的分析与设计方法2.1.2组合逻辑电路的设计方法图2-4例2-3电路图【例2-4】某董事会有一位董事长和三位董事进行表决,当满足以下条件时决议通过:有三人或三人以上同意,或者有两人同意,但其中一人必须是董事长。试用与非门设计满足上述要求的表决电路。解:(1)确定输入和输出变量。用变量A、B、C、D表示输入,A代表董事长,B、C、D代表董事,1表示同意,0表示不同意;用Y表示输出,Y=1,代表决议通过,Y=0,代表不通过。2.1组合逻辑电路的分析与设计方法2.1.2组合逻辑电路的设计方法(2)根据逻辑功能列出真值表,见表2-4。ABCDY00000001001000110100010101100111100010011010101111001101111011110000000101111111表2-4例2-4真值表2.1.2组合逻辑电路的设计方法(3)根据真值表可画出Y的卡诺图,并根据卡诺图写出Y的最简与或表达式为:按题意要求转换成与非-与非表达式为:(4)根据与非-与非表达式可画出逻辑电路图,如图2-6所示。2.1.2组合逻辑电路的设计方法最简与或表达式:图2-4例2-3电路图把某种具有特定意义的输入信号(如字母、数字、符号等)编成相应的一组二进制代码的过程称为编码,能够实现编码的电路称为编码器。2.2编码器2.2.1二进制编码器普通的二进制编码器有2n个输入端和n个输出端,要求2n个输入端中只能有一个为有效输入,输出为这个有效输入的n位二进制代码。以3位二进制编码器为例,其示意图如图2-7所示。图2-73位二进制编码器2.2.1二进制编码器3位二进制编码器有8个输入端I0~I7和3个输出端A2~A0,因此常称为8线-3线编码器。8种正常输入情况下的真值表见表2-5。输

入输

出I0I1I2I3I4I5I6I7A2

A1

A01000000001

000000001000000001000000001000000001000000001000000001000001010011100101110111表2-58线-3线编码器真值表1.普通二进制编码器由表2-5可写出编码器各个输出的逻辑表达式为:如图所示为用与非门实现的3位二进制编码器。

2.2.1二进制编码器1.普通二进制编码器2.优先编码器

普通二进制编码器中,不允许同时有两个以上的有效编码信号同时输入,否则,编码器的输出将发生混乱。为解决这一问题,一般将编码器设计成优先编码器。

优先编码器允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先级别最高的一个进行编码。74LS148是一种常用的8线-3线优先编码器。2.2.1二进制编码器低电平有效,优先顺序:8线-3线优先编码器——74LS148

:编码输出端:编码输入端低电平有效,即反码输出。图2-974LS148逻辑框图:使能输入端,低电平有效:为编码器的工作标志,低电平有效。:使能输出端,高电平有效2.优先编码器2.2.1二进制编码器

入输

1××××××××

011111

1

11

0×××××××0

0××××××

01

0×××××

0

11

0××××01

11

0×××

0

1111

0××

0

11111

0

111

111

00

1

1

1

1

1

1111111111100000100101010010110110001101011100111101表2-674LS148优先编码器真值表8线-3线优先编码器——74LS148

8线-3线优先编码器10

1

0

0

1100001011000108线-3线优先编码器——74LS148

2.优先编码器2.2.1二进制编码器2.2.1二进制编码器2.优先编码器

74LS148的扩展使用图2-10两片74LS148组成的16线-4线优先编码器注意:当全为1时,代表输入的是十进制数0。

用4位二进制代码对0~9中的一位十进制数码进行编码的电路,称为二-十进制编码器。又称为10线-4线编码器,为防止输出混乱,二-十进制编码器通常都设计成优先编码器。74LS147是一种常用的10线-4线8421BCD优先编码器。2.2.2二-十进制编码器

图2-1174LS147逻辑框图低电平有效,优先顺序::编码输出端:编码输入端低电平有效,即反码输出。101001101011111111111111112.2.2二-十进制编码器74LS147的使用

CD40147是一种常用CMOS系列的10线-4线8421BCD优先编码器,其逻辑框图如图2-12所示,CD40147优先编码器真值表见表2-7。图2-12CD40147逻辑框图高电平有效,优先顺序:I9~I0A3~A0:编码输出端I0~I9:编码输入端高电平有效,即原码输出。2.2.2二-十进

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论