北科大数字电子技术生教学课件授课de09wu_第1页
北科大数字电子技术生教学课件授课de09wu_第2页
北科大数字电子技术生教学课件授课de09wu_第3页
北科大数字电子技术生教学课件授课de09wu_第4页
北科大数字电子技术生教学课件授课de09wu_第5页
已阅读5页,还剩52页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

DigitalFundamentalsCHAPTER9

ShiftRegisters(移位寄存器)9-1BasicShiftRegisterFunctions

(基本移位寄存器功能)Shiftregistersconsistofarrangementsofflip-flopsandareimportantinapplicationsinvolvingthestorageandtransferofdatainadigitalsystem.Eachstage(flip-flop)representsonebitofstoragecapacity,thenumberofstagesofaregisterdeterminesitsstoragecapacityTheconceptofstoringa1or0IaDflip-flopDatainDatainDatainDatainDatainDataoutDataoutDataoutDataoutDataoutSerialin/shiftright/serialoutSerialin/shiftleft/serialoutParallelin/serialoutParallelin/paralleloutSerialin/paralleloutRotaterightRotateleftThetypesofdatamovementinshiftregisterTheshiftcapabilityofaregisterpermitsthemovementofdatafromstagetostagewithintheregisterorintooroutofaregisteruponapplicationofclockpulse9-2SerialIn/SerialOutShiftRegisters Acceptsdataserially ProducesthestoredinformationonitsoutputalsoinserialformA4-bitserialin/serialoutshiftregisterimplementedwithDflip-flops11111CLKCLKCLKCLKEachclockpulsewillmoveaninputbittothenextflip-floptheentryoffourbits1010intotheregisterBeginwiththeright-mostbitThesedatacanbestoredforanylengthoftimeaslongastheflip-flopshavedcpowerEachclockpulsewillmoveaninputbittothenextflip-flop.thefourbits(1010)beingseriallyshiftedoutoftheregisterExample9-1Showthestatesofthe5-bitregisterforthespecifieddatainputandclockwaveforms.Assumethattheregisterisinitiallycleared(all0s).P366Logicsymbolforan8-bitserialin/serialoutshiftregister“SRG8”designationindicatesashiftregisterwithan8-bitcapacityExercise(P396:8)Determinethedata-outputwaveform.(Assumethattheregisterisinitiallycleared)9-3SerialIn/ParallelOutShiftRegisters Acceptsdataserially TheoutputofeachstageisavailableatthesametimeLogicsymbolA4-bitserialin/paralleloutshiftregistercanbeusedtoconvertserialdatatoparallelformA8-bitserialin/paralleloutIC:74HC164Oneofthetwoserialdatainputs(A,B)canbeusedasanactiveHIGHenabletogatetheotherinput.Sampletimingdiagramfora74HC1649-4ParallelIn/SerialOutShiftRegistersLogicsymbolAcceptsdatasimultaneouslyProducesthestoredinformationonitsoutputinserialformcanbeusedtoconvertparalleldatatoserialformWhenSHIFT/LOADisLOW,gatesG1~G3areenabled,allowingeachdatabittobeappliedtotheDinputofitsrespectiveflip-flop.WhenSHIFT/LOADisHIGH,

gatesG4~G6areenabled,allowingthedatabitstoshiftrightfromonestagetothenext.A4-bitparallelin/serialoutshiftregisterExample9-3:Showthedataoutputwaveformfora4-bitregisterwiththeinputsinthefigure.P371A8-bitparallelloadIC:74HC165TheclockcanbeinhibitedanytimewithaHIGHonthe“CLKINH”input9-5ParallelIn/ParallelOutShiftRegistersA4-bitparallel-accessshiftregisterIC:74HC195JandKaretheserialdatainputstothefirststageoftheregister(Q0)Sampletimingdiagramfor74HC1959-6BidirectionalShiftRegisters Thedatacanbeshiftedeitherleftorrightdependonthelevelofacontrolline.4-bitbidirectionalshiftregisterRIGHT/LEFT=HIGH:shiftrightRIGHT/LEFT=LOW:shiftleftExample9-4:DeterminetheoutputsinFigure9-19.(AssumetheserialdatainputlineisLOW)P353:CLK1CLK2CLK3CLK4CLK5CLK6CLK7CLK8CLK9Q0isontheleft4-bituniversalIC:74HC194AuniversalshiftregisterhasbothserialandparallelinputandoutputcapabilityModecontrolinputsSampleTimingDiagramof74HC194Example:P370-22DeterminetheQoutputsofa74HC194withtheinputsshown.(InputsD0、D1、D2and

D3areallHIGH)P372-21Usetwo74HC194tocreatean8-bitbidirectionalshiftregisterExercise:P372-21Usetwo74HC194tocreatean8-bitbidirectionalshiftregisterExercise:9-7ShiftRegisterCounters

(移位寄存器型计数器)9-7-1TheJohnsonCounter (扭环形计数器)9-7-2TheRingCounter (环形计数器)Ashiftregistercounterisbasicallyashiftregisterwiththeserialoutputconnectedbacktotheserialinputtoproducespecialsequences.9-7-1TheJohnsonCounter9-7-1TheJohnsonCounter“twisted-ring”counter9-7-1TheJohnsonCounter1)Excitationequation2)Nextstateequation9-7-1TheJohnsonCounter2)Nextstateequation3)StateconversiontableCLK Q0

Q1

Q2

Q30123456700001 0001100111011110111001100019-7-1TheJohnsonCounter3)StateconverttableCLK Q0

Q1

Q2

Q30123456700001 000110011101111011100110001Ingeneral,aJohnsoncounterwillproduceamodulusof2n,wheren=numberofstagesinthecounterTimingsequencefora5-bitJohnsoncounterTheringcountercanalsobeimplementedwitheitherDflip-flopsorJ-Kflip-flops.9-7-2TheRingCounter9-7-2TheRingCounterTheringcountermustbepreloadedwiththedesiredpattern(usuallyasingle0or1)NextstateequationThe10-bitRingCounterThewaveformsforan8-bitringcounterwithasingle1ARingCounterusingIC:74HC195shiftregister9-8ShiftRegisterApplications

(移位寄存器的应用)Shiftregisterscanbeusedtodelayadigitalsignalbyapredeterminedamount.TimeDelayAn8-bitserialin/serialoutshiftregisterhasa40MHzclock.Whatisthetotaldelaythroughtheregister?Thedelayforeachclockis1/40MHz=25nsThetotaldelayis8x25ns=200ns25ns=200nsExercise:P382:Example9-6

DeterminetheamountoftimedelaybetweentheserialinputandeachoutputSerial-to-ParallelDataConverterP384UniversalAsynchronousReceiverTransmitter(UART通用异步收发报机)

UATRinterfaceUniversalAsynchronousReceiverTransmitter(UART)

BasicUARTblockdiagramKeyboardEncoder1.Theshiftregisterthatwouldbeusedtodelayserialdataby4clockperiodsis a. c. b. d.QuizQuiz2. Thecircuitshownisa a.serial-in/serial-outshiftregister

b.serial-in/parallel-outshiftregister c.parallel-in/serial-outshiftregister d.parallel-in/parallel-outshiftregisterQuiz3. IftheSHIFT/LOADlineisHIGH,data a.isloadedfromD0,D1,D2andD3immediately b.isloadedfromD0,D1,D2andD3onthenextCLK c.shiftedfromlefttorightonthenextCLK

d.shiftedfromrighttoleftonthenextCLKQuiz4. A4-bitparallel-in/parallel-outshiftregisterwillstoredatafor a.1clockperiod b.2clockperiods c.3clockperiods

d.4clockperiods5.The74HC164(shown)hastwoserialinputs.IfdataisplacedontheAinput,theBinput a.couldserveasanactiveLOWenable b.couldserveasanactiveHIGHenable c.shouldbeconnectedtoground d.shouldbeleftopenQuizCLKQ0Q1Q2Q3CLRQ4Q5Q6Q7SerialinputsABQuiz6. Apossiblesequencefora4-bitringcounteris a.…1111,1110,1101…

b.…0000,0001,0010… c.…0001,0011,0111…

d.…1000,0100,0010…Quiz7. AnadvantageofaringcounteroveraJohnsoncounteristhattheringcounter a.hasmorepossiblestatesforagivennumberofflip-flops b.isclearedaftereachcycle c.allowsonlyonebittochangeatatim

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论