数字电子技术基础电子教案图文_第1页
数字电子技术基础电子教案图文_第2页
数字电子技术基础电子教案图文_第3页
数字电子技术基础电子教案图文_第4页
数字电子技术基础电子教案图文_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

经典word整理文档,仅参考,双击此处可删除页眉页脚。本资料属于网络整理,如有侵权,请联系删除,谢谢!数字电子技术基础电子教案-图文第1、2课时喇叭(主要功能:放大;还有:运算、处理等功能。)二、数字信号与数字电路1.数字信号:指在时间和数值上都断续变化的离散电信号。(快速变化)常用0、1二元数值表示。例如:脉博信号、电报、键盘输入信号、钢琴声等u数字信号波形:t2.数字电路:P1对数字信号进行传输、处理的电子电路。例如:数字钟:电源秒脉冲发生器时分秒计数器时分秒显示器(第六章)(第五章)(第三章)(主要功能:计数显示;还有:编码、记忆、运算等功能。)模拟信号与数字信号可由A/D、D/A转换电路相互转换。1.1.2数字电路的特点(与模拟电路的区别)一、0、1数字表示两种对立的离散状态。电流“无”,电压“低”低电平(0.7V以下)“0”“1”电流“有”,电压“高”高电平(2.7V以上)二、半导体元件工作在开关状态,分别对应“0、1”数码。iD=1、uD=0导通二极管iD=0、uD=1截止开关状态iC=1、uC=0饱和三极管iC=0、uC=1截止三、研究内容:对数字电路进行逻辑分析和逻辑设计;研究对象:电路的输入与输出状态之间的逻辑关系,而不是数值关系;分析方法:逻辑代数和卡诺图法。而不是微变等效电路法和图解法。四、精度高、抗干扰能力强、可加密;结构简单、容易制造,便于集成及系列化生产。1.1.3数字电路的分类与应用一、分类1.按结构分:分立元件:电阻、电容、二极管、三极管组成。(已淘汰)集成电路:按集成度(一块硅片中包含的元器件个数)分:小(SSI)(10~100个元件)、中(MSI)(100~1000个)、大(LSI)(1000个以上)、超大(VLSI)(10万个以上)规模。2.按所用器件分:双极型:晶体管构成:DTL(二极管—三极管逻辑电路)、TTL(三极管—三极管逻辑电路)、ECL(射极耦合型逻辑电路)、IIL(集成注入型逻辑电路)等。单极型:场效应管构成:NMOS(N沟道绝缘栅)、PMOS(P沟道绝缘栅)、CMOS(N、P沟道互补对称)3.按逻辑功能分:组合电路:由门电路组合构成。(如:译码器等时序电路:由触发器和门电路构成。(如:计数器等)二、应用数字电路在日常生活、计算机、通信、数控、电子测量等领域得到广泛应用。如:手机、数码照相机、数码摄影机、数字电视、DVD、MP3等。1.2数制与码制1.2.1数制一、数制的概念1.数制:就是计数方法,即指多位数码从低位到高位的进位规则,也称进位制。例如:二进制、十进制、八进制等2.基数:进位制的基数,就是在该进位制中可能用到的数码个数。3.位权(位的权数)(权):在某一进位制的数中,每一位的大小都对应着该位上的数码乘上一个固定的数,这个固定的数就是这一位的权数。权是一个幂。如:(26)10=2某101+6某100二、几种常用的数制1.十进制:(1)数码:0~9;基数是10。(2)进位规律:逢十进一,即:9+1=10。n-1n-2最低位号加权系数-m(3)权展开式:N10或D=an-1某10+an-2某10+…+a-m某10(其中:N是数值,n位整数,m位小数)权最低位号系数ima10in1i位号(位置计数法:将数码从左到右排列起来,数值等于各加权系数之和。)210-1-2例如:(125.68)10=1某10+2某10+5某10+6某10+8某10各数位的权是10的幂2.二进制:(位号:2、1、0、-1、-2;位权所在的号)(1)数码:0、1;基数是2。(2)进位规律:逢二进一,即:1+1=10。-m(3)权展开式:N2或B=an-1某2+an-2某2+…+a-m某2n-1n-2ima2in1i例如:(101.01)2=1某22+0某21+1某20+0某2-1+1某2-2=(5.25)10各数位的权是2的幂课题(章节目)教学目的与要求重点难点授课班级日期、节次1.2.1数制----1.2.2码制掌握几种数制之间的相互转换掌握码制与数制之间的转换几种数制之间的转换码制与数制之间的转换教学过程复习:四种数制(数码、基数、进位规律、权展开式)及其对应关系P5表。★三、几种数制之间的相互转换1.非十进制→十进制方法:将数码按权展开,得出其相加的结果便为对应的十进制数。例如:①(1001.01)2=1某2+1某2+1某2=(9.25)10②(32.7)8=3某8+2某8+7某8=(26.875)10③(ED.3)16=14某16+13某16+3某16=(237.1875)102.十进制→二进制方法:整数部分:除2取余,最后得到的余数为二进制最高位;小数部分:乘2取整,最先得到的整数为二进制最高位。例如:将(44.375)10化为二进制数244余数222………0低位(MSB)211………025………122………121………00………1高位(LSB)-10-11030-21整数部分:小数部分:整数0.375某2=0.750……00.750某2=1.500……10.500某2=1.000……1低位(MSB)∴(44.375)10=(101100.011)2十进制转为八进制、十六进制方法同上,只是除(乘)8、16。如:(44.375)10=(54.3)8=(2C.6)1684485……40……50.375某8=3.00016440.375某16=6.000162……C0……2或者将十进制先转为二进制,再将二进制转为八进制、十六进制。3.二进制→八进制、十六进制方法:将二进制数由小数点开始,整数部分向左,小数部分向右,每3位)分成一组,不够补零,则每组二进制数便是一位八进制数(十六进制数)。例如:①上题(101100.011)2=(54.3)8=(00101100.0110)2=(2C.6)162C.654.3②(001101010.010)2=(152.2)4.八进制、十六进制→二进制8=(01101010.0100)=(6A.4)166位(4A位.)二进制数表示。4152.2(十六进制数)用3方法:将每位八进制数例如:①(374.26)8=(011111100.010110)2②(AF4.76)16=(101011110100.01110110)21.2.2码制引言:数字系统只能识别0和1二进制数,高位的十、八、十六进制数转换为二进制数较复杂,故人们就采用另一种用0、1来表示数码、字符的方法,这就是码制。怎样才能用0、1表示更多的数码、字符呢?这就是编码。一、码制的概念1.码制:就是编码方法。2.编码:用一定位数的二进制数来表示数码、字母、符号等信息。3.代码:用以表示数码、字母、符号等信息的一定位数的二进制数。4.二—十进制代码(BCD码):用4位二进制数来表示十进制数中的0~9十个数码(1位十进制数)。常用的BCD码制1.8421BCD码:用4位二进制数中的前十个码0000~1001有二、来表示十进制数0~9,去掉1010~1111(称伪码10~15)。各位的权依次为权8、4、2、1。码2.2421码:其权依次为2、4、2、1。3.5421码:其权依次为5、4、2、1。无4.余3码:由8421码加0011得到。权码5.格雷(Gray)码:P7是一种循环码,其特点是任何相邻的两个码,仅有一位代码不同,其它位相同。(常用的BCD码,见P6表1.2.4)还有:余3循环码、奇偶校验码、汉明码、ASCⅡ码、ISO位二进制代码表一个字节)等。同一代码在不同码制中含义不同,如:0100:在8421、5421、2421码中为4;在余3码中为1;在格雷码中为采用不同编码,可提高数字电路传递代码的可靠牲。★三、码制与数制之间的转换例如:①将(803.469)10写成8421BCD码。(803.469)10=(100000000011.010001101001)8421BCD(与十六进制转换成二进制方法相同)②将(010010010111.0100)8421BCD换成二进制数。(010010010111.0101)8421BCD=(497.5)10=(111110001.1)2(与二进制转换成十六进制方法相同);整数部分:除2取余;小数部分:乘2取整③1000.1101是二进制数还是8421BCD码?∵1101是伪码,∴为二进制数。作业:P27一、课后此内容学生容量懂,学习效果好。小结第5、6课时课题1.3三种最基本的逻辑函数----1.4复合逻辑函数(章节目)教学目的与要求重点难点授课班级日期、节次掌握三种基本逻辑函数、复合函数及其表示方法三种基本逻辑函数、复合逻辑函数及其表示方法教学过程1.3三种最基本的逻辑函数引言:前面我们讲过数字电路是研究输入与输出状态之间的关系,也就是说,电路输入某种状态后,输出就得到某种相应的结果,这种原因与结果的关系,就是逻辑关系。逻辑关系用函数来表示,就是逻辑函数。最常见的是三种逻辑函数。1.3.1逻辑函数和逻辑变量一、逻辑命题和逻辑变量1.逻辑命题:反映事物因果关系规律的命题。2.逻辑变量:决定事物原因和结果的变量。(1)包括:逻辑自变量:决定事物原因的变量。──输入变量逻辑因变量:决定事物结果的变量。──输出变量(2)只有两种取值:逻辑0(0态)、逻辑1(1态)。0和1称为逻辑常量,不表示数量的大小,无大小、正负之分,只表示两种对立的逻辑状态(高和低、有和无、开和关等)。二、逻辑函数逻辑函数:反映逻辑自变量和逻辑因变量之间的表达式。如:L=f(A、B、C…)输出变量输入变量★1.3.2三种基本逻辑关系及其表示方法一、与逻辑(逻辑乘)1.定义:P7仅当决定事件(L)发生的所有条件(A、B、C…)均满足时,事件(L)才能发生。例如:开关A,B串联控制灯泡Y的电路。电路图:功能表:AB0AB开关开关断断断合合断合合L灯灭0灭灭亮11EL2.表示方法:(五种)(1)真值表:把所有可能的条件组合及其对应结果一一列出来的表格。AB000110L000111(2)逻辑表达式:L=AB(3)逻辑符号:AA&L常用符号)(国标符号)或BL(B(4)波形图:(5)卡诺图:略(后面讲)A00113.逻辑规律:有0出0,全1出10110B4.与门:实现“与逻辑”的电子电路。(开关)L+VCC与门电路:D1RALD2B二、或逻辑(逻辑加)1.定义:P8当决定事件(L)发生的各种条件(A,B,C,…)中,只要有一个或多个条件具备,事件(L)就发生。例如:开关A,B并联控制灯泡L的电路。EBLA电路图:2.表示方法:(1)真值表:AB00011011L0111(2)逻辑表达式:L=A+B(3)逻辑符号:AA≥1L或BB(4)波形图:3.逻辑规律:有1出0出04.或门:实现“或逻辑”的电子电路。三.非逻辑(逻辑反)+LAD1D2RB或门电路:L1.定义:非逻辑指的是逻辑的否定。当决定事件(L)发生的条件(A)满足时,事件不发生;条件不满足,事件反而发生。例如:开关A控制灯泡L的电路电路图:EALR2.表示方法:(1)真值表:AL0110(2)逻辑表达式:L=A(只有一个输入端)A0(3)逻辑符号:1AL或ALL1(4)波形图:3.逻辑规律:有1出0出1(输入与输出状态相反)4.非门(反相器):实现“非逻辑”的电子电路。例:P271.4非门电路:RC10+VCCLTARb★1.4复合逻辑函数一、定义:由“与、或、非”组合后的逻辑函数。二、常用的复合函数及其表示方法1.与非:2.或非:逻辑表达式:Z=AB逻辑表达式:Z=A+B真值表:真值表:AB00011011Z1110AB00011011Z1000逻辑符号:逻辑符号:A≥1A&ZZBB逻辑规律:有0出1,全1出0逻辑规律:有1出0,全0出13.与或非:4.异或:逻辑表达式:Z=AB+CD逻辑表达式:Z=AB+AB=A⊕B逻辑符号:A真值表:ABZ&≥1B000ZC011D101逻辑规律:各组均有0出110某组全1出0A=1ZA⊕Z逻辑符号:或BB逻辑规律:相同出0,相反出15.同或(异或非):异或和同或规律:逻辑表达式:Z=AB+AB=A⊙B=A⊕B(1)偶数个变量的异或和同或互为非:真值表:A⊕B=A⊙B奇数个变量的异或和同或相等:ABZA⊕B⊕C=A⊙B⊙C001(2)同或门无独立产品,由异或门加010非门构成。11A=100ZB111(3)异或门只有两个输入端。A逻辑符号:BZA⊙Z或BZCA=1Z或Z=A⊕B⊕C:1=1AB逻辑规律:相同出1,相反出0(波形图:要画)课后小结此内容学生容量懂,学习效果好。第7、8课时课题(章节目)教学目的与要求重点难点授课班级日期、节次1.5逻辑函数的几种表示方法及其相互转换掌握逻辑函数的几种表示方法及其相互转换逻辑函数的几种表示方法及其相互转换教学过程复习:8种逻辑函数的函数式、逻辑符号。★1.5逻辑函数的几种表示方法及其相互转换1.5.1已知真值表求逻辑表达式和逻辑图一、已知真值表求函数式方法:1.找出真值表中函数值为1的输入变量组合;P122.将这些输入变量组合分别写成乘积项。乘积项中,凡变量值为1的因子写成原变量、为0的因子写成反变量;3.将上述乘积项相加即可。例如:ABC0010100111001011101111Z0010101①真值表:0注意:0002(1)Z不是最简式和唯一式:ABCABCABCZ=ABC3ABCABC[Z=(A+B)C](2)反函数Z是函数值为0的乘积项之和。②P2821(学生做)二、已知函数式画逻辑图方法:由函数式中所对应的逻辑符号画出逻辑图。A例如:①ZABBCAC&按先“与”后“或”的运算顺序画:BABCABCABCZ=②(前题)C&&≥1Z由二个非门、三个与门、一个或门构成,如P13图1.5.2。(要画)③P3132(学生做)1.5.2已知逻辑表达式求真值表和逻辑图方法:将输入变量取值的所有组合(2n为输入变量个数)逐一代入函数式中,算出函数值,并一一对应地列成表。例如:①ZABCABC000001010011100101110111Z01010111ABC&1≥Z真值表:逻辑图:(由函数式画出)②P13例1.5.1Z=A+BC+AC③P2922(学生做)真值表中可列出BC、AC;由三个非门、二个与门、一个或门构成,如P13图1.5.4。(要画)1.5.3已知逻辑图求逻辑表达式和真值表方法:由逻辑图的输入端开始,逐级写出各逻辑符号输出端的表达式;再由表达式得真值表。A≥1ABC例如:①逻辑图:B≥1CZ∴Z=ABCABB1≥1ABCABBBAB②P14例1.5.2(学生做)作业:P2923课后小结此内容学生容量懂,学习效果好。第9、10课时课题(章节目)教学目的与要求重点难点授课班级日期、节次1.6逻辑代数掌握逻辑代数的基本公式、定律和常用规则掌握代数法化间逻辑函数逻辑代数的基本公式、定律和常用规则逻辑代数的代数法化间教学过程1.6逻辑代数引言:逻辑代数(布尔代数)是英国数学家乔治·布尔在1847年首先论述的。主要研究逻辑0和逻辑1的运算规律。它是不同于二进制、十进制的运算,不存在进位(1+1=1≠10≠2)。逻辑代数的核心内容是9个基本定律和3个常用规则,它是分析和设计数字电路的数学工具和理论基础,必须掌握记牢。★1.6.1基本公式、定律和常用规则一、基本公式、定律(9个定律)P14表1.6.1:讲解要记住的公式。1.前4个定律令A=0、A=1代入这些公式,即可证明等式成立;注意2.后4个定律用真值表证明(等式两边所对应的真值表相等,等式成立。)3.吸收律中:A+AB=A+B可用分配律中的A+BC=(A+B)(A+C)证明;AB+AC+BC=AB+AC用配项法证明(后面讲)。二、常用规则(3个)1.代入规则:P15将等式两边出现的同一变量都用一个相同逻辑函数代替,则等式仍然成立。例如:证明:ABCABC。(这是摩根定理扩展为三变量的形式)ABAB用函数Z=AC代替等式中的A,根据代入规则,等式仍(AC)BACBABC然成立,即有:(可推广到n个变量)。2.反演规则:对于任何一个逻辑表达式Z,如果将Z中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Z的反函数Z。注意:①运算顺序:“先括号、然后乘、最后加”。②不在一个变量上的反号应保留不变。例如:P16例1.6.13.对偶规则:P16凡原式成立,则其对偶式也成立。对偶式Z/:对于任何一个逻辑表达式Z,如果将Z中的所有“·”换成“+”,“换成·“0”换成“1”,“1”换成“0”,那么所得到的”C“Z+ABDE”,Z(AB)(CDE)表达式就是函数Z的对偶式Z/。例如:①ZABCDEZABCDE②③P3027②④(学生做)1.6.2逻辑函数的代数化简法引言:一个逻辑函数确定后,其真值表唯一,但其表达式却有多种形式,Z(AB)(CDE)ZABCDE而对应不同的表达式就有不同的逻辑图;最简表达式所代表的电路元件最少、成本最低、可靠性好、传输时间短,因此,逻辑函数必须化简。化简的方法有两种:代数法、卡诺图法。这节课介绍代数法化简,它是利用上节课介绍的逻辑代数基本定律将复杂的逻辑函数化为最简单形式。那么,什么叫最简表达式?逻辑表达式有哪些基本形式?一、逻辑表达式的基本形式和最简式含义1.基本形式:如:①与或式②与非与非式③或与非式④或非或式(各乘积项之和)(先与后非,再与再非)(先或后与再非)(先或后非再或)ZABABABABABAB(AB)(AB)ABAB(AB)(AB)(AB)(AB)(AB)(AB)ABABABAB⑤或与式⑥或非或非式⑦与或非式⑧与非与式(先或后与)(先或后非,再或再非)(先与后或再非)(先与后非再与)2.最简与或式的含义:P17乘积项的个数最少;每个乘积项里的变量个数最少。一般逻辑函数都化简成最简与或式(最基本的),由最简与或式可变换为其他形式的最简式。★二、代数化简法(公式法)1.定义:P17利用逻辑代数的基本公式、定律对逻辑函数进行化简的方法。2.常用的公式化简方法:见P17表1.6.3(4种)对于一个复杂逻辑函数的化简,上述方法综合起来用。例如:①证明公式:AB+AC+BC=AB+AC用配项法:=AB+AC+(A+A)BC=AB(1+C)+AC(1+B)=AB+AC②~⑨P17例1.6.2~1.6.9注意点:①若给定的逻辑函数不是与或式,应先将其变换成与或式后再化简。②化简中配项后,必须保证函数值不变,最后化为最简与或式。③化简后的最简与或式不是唯一的。总之:代数化简法没有统一模式,只有多做练习才能灵活、交替、综合地利用多个公式、多种方法和多种运算技巧,将逻辑函数化为最简。作业:P2926②、⑨27③、⑤课后小结此内容学生容量懂,学习效果好。第11、12课时(3)由卡诺图写与或式:例如:BC00011110AZABC11010ZABCABC11111作业:P2925课后此内容学生容量懂,学习效果好。小结第13、14课时课题(章节目)教学目的与要求重点难点授课班级第二章集成逻辑电路2.1二极管、三极管的开关特性了解二极管、三极管的开关特性二极管、三极管的开关特性日期、节次教学过程第二章集成逻辑门电路本章教学要求:◆掌握与门、或门、非门、与非门、或非门、与或非门、异或门、同或门、CMOS传输门、模拟开关、三态门、OD门和OC门的逻辑符号和逻辑功能。(重点)◆了解半导体器件开关特性;分立元件构成的门电路结构;CMOS反相器和TTL与非门的电路结构、工作原理、外特牲、主要参数、使用方法和注意事项。◆熟悉线与概念、OD门和OC门上拉电阻计算、推拉输出和高阻态的含义。引言:前一章介绍的是逻辑关系,这一章我们介绍实现上述关系的单元电路──门电路。门电路就是能起“门”(开关)作用的电路。哪些元件有开关作用?在半导体元件中二极管、三极管具有开关特牲,能起开关作用。2.1二极管、三极管的开关特性(无触点的电子开关)2.1.1二极管的开关特性一、开关特性──单向导电性+VCC(+5V)RUIH=5VUIL=0VDSUBRiD(mA)UD<0.5V时,二极管截止,IFiD=0。0.50.7uIuoUOH=5VUOL=0V0uD(V)如:二极管开关电路:(理想二极管忽略:正向管压降,反向管电流)加正偏(正向电压):UIL=0=0V,D导通,管压降很小,UOL=0=0V;同开关合上。UD>0.5V时,二极管导通。加反偏(反向电压):UIH=1=5V,D截止,管压降较大,UOH=1=VCC=+5V;同开关断开。二、动态特性──管上电压、电流在时间上的关系引言:输入高、低电平转换时,输出电平能否马上跟着转换(开关速度的快慢)?这就是我们要讨论的二极管的另一个特性──动态特性。1.曲线:(u、i与时间的关系曲线)u+u_i正0反正反DRti-0.1IR0-IRttreIR─反向电流二极管作开关不同于机械开关,其开关状态的转换不可能瞬间完成。边画i波形边讲解:外加电压u由反向→正向转换时刻(上升沿),PN结内部有一个因电荷积累形成一定浓度的过程,从而引起扩散电流,电流稍稍滞后电压;外加电压u由正向→反向转换时刻(下降沿),由于PN结两侧堆积了一定数量的存储电荷,在外加反向电压作用下,会形成较大的瞬态反向电流IR,需要一段时间tre消散存储电荷。得出:i的变化滞后于u的变化。2.反向恢复时间tre─—影响二极管开关速度的主要参数P34(tre:反向电流IR从峰值衰减到它的0.1倍所需的时间)。(一般约在几纳秒n10-9之内)(影响最高工作频率)2.1.2三极管的开关特性一、双极型三极管的开关特性1.开关特性──管子工作于截止态、饱和态(开关状态)的特性如:三极管开关电路:+V+VCC+VCCRc截止态饱和态uoRciCUOHRcRRbiRbbBbcTU+u+I++OLbc++UIH0.7V0.3VeUIL--e----(1)截止态:UIL=0≤0V,T的两PN结均反偏,iB=0、iC=0,T截止,UOH=1=VCC;同开关断开。(2)饱和态:UIH=1,只要元件参数适当,使iB=UI-UBE/Rb≥IBS=VC/βRC,T的两PN结均正偏,iC与iB无关,饱和管压降UCE(at)=0.3V(Si管),T饱和,UOL=0=UCE(at)=0.3V;同开关合上。uIUIH2.动态特性UIH/2(1)曲线:CCP35图2.1.80tic0uOUOHUOH/20tt下降沿上升沿在动态情况下,三极管在截止与饱和导通两种状态之间迅速转换时,由于三极管内部电荷的建立和消耗都需要一定的时间。∴iC的变化滞后于uI的变化,故uO的变化比uI的变化也相应地滞后。(2)开关时间(tPHL和tPLH)─—影响三极管开关速度的主要参数是输入、输出波形变化至幅值的50%所对应的时间差。分:t(t)─导通延迟时间(开通时间):三极管从止→饱和所需时间。P38PHLontPLH(toff)─截止延迟时间(关闭时间):三极管从饱和→止所需时间。(一般tPLH>tPHL∵T由止→通,内阻rce<课题(章节目)教学目的与要求重点难点授课班级日期、节次2.2分立元件门电路----2.3CMOS集成逻辑门一、MOS管了解分立元件门电路的电路、逻辑符号、逻辑功能等了解MOS管的特点、分类、结构和工作原理、开关特性门电路的逻辑符号、逻辑功能MOS管的结构、工作原理、开关特性教学过程2.2分立元件门电路2.2.1二极管门电路一、与门(实现“与”逻辑关系的电子电路)1.电路结构:2.真值表:3.逻辑符号:+VCC(+5V)D1D2ABZR通通000A&3k1Ω5VDZ010B止通AZD止通10020VB止止1114.函数式:5.波形图和卡诺图:6.逻辑功能:Z=AB(让学生画)有0出0,全1出1二、或门(实现“或”逻辑关系的电子电路)1.电路结构:2.真值表:3.逻辑符号:5VAD1D2ABZD1止止000Z≥1A0VBZB011止通D2R通止1013kΩ通通1114.函数式:5.波形图和卡诺图:6.逻辑功能:Z=A+B(让学生画)有1出1,全0出02.2.2三极管门电路一、非门(反相器)(实现“非”逻辑关系的电子电路)1.电路结构:2.真值表:3.逻辑符号:+VCCRCAZT1kΩ1ZAZ通01RbTA止4.3kΩ104.函数式:5.波形图和卡诺图:6.逻辑功能:Z=A(让学生画)输入与输出相反第19、20课时课题(章节目)教学目的2.3CMOS集成逻辑门二、MOS集成门分类----2.3.1CMOS反相器了解CMOS门的分类、特点、型号;CMOS反相器的电路、工作原理、特性和参数与要求重点难点授课班级日期、节次CMOS反相器的电路、工作原理、特性和参数CMOS门的分类、特点、型号教学过程二、MOS集成门分类:(按管子类型不同分)1.NMOS门:由N沟道增强型MOS管构成。2.PMOS门:由P沟道增强型MOS管构成。3.CMOS门:由NMOS门和PMOS门共同构成。三、CMOS门特点:静态功耗低,抗干扰能力强,结构相对简单,便于大规模集成,输入电阻高,电源电压范围宽,带载能力强,输出电压摆幅下。但工作速度比TTL电路低。目前CMOS集成电路比TTL电路应用更广泛。四、CMOS门型号及命名:1.国产4000系列──普通(电源电压3V~15V)型号HC系列──高速(与TTL中的LS相当)(电源电压2V~6V)HCT系列──与TTL兼容(电源电压5V)AC系列──先进(电源电压5V)ACT系列──先进且与TTL兼容(电源电压5V)HCU系列──无输出缓冲器的高速(电源电压5V)例:P71一2.命名:P40CC54/744012MD国产CMOS军用/民用与非门(品种)-55~125C多层陶瓷双列直插4000系列(温度)(封装)2.3.1CMOS反相器0一、电路及工作原理1.电路结构:GuI+VDD(+10V)STP(负载管)DuO+VDD(+10V)RONPuOS10V+VDD(+10V)SuORONN0VGSTN(开关管)(b)TN截止、TP导通(a)电路(c)TN导通、TP截止要求:VDD>|UGS(th)P|+UGS(th)N2.工作原理:由TN和TP的转移特性曲线:iDUGS(th)P0UGS(th)NuGS当uGS>UGS(th)NNMOS管导通(有输出电流iD)当uGS<UGS(th)PPMOS管导通(有输出电流iD)∴当UIH=1=VDD=10V时,uGSN=10V,TN通,TP止,UOL=0=0Vuo=uIUIL=0=0V时,uGSP=-10V,TP通,TN止,UOH=1=VDD=10V(互补)(提高工作速度)转折语:当输入电压跳变时,输出电压怎样变?跳变的点位又怎么确定(参数)?这就是反相器的特性和参数问题。二、特性和参数1.电压传输性:uo=f(uI)输出电压随输入电压变化的曲线。(1)特性曲线分析:设:VDD=10V,UGS(th)N=|UGS(th)P|=2V边画图边讲解:P40图2.3.1(a)。再结合(b)图解释。分五段:A段:uI=0~2V<UGSTN止;|uI-VDD|>|UGSTP通;uo=VDD=10V。(th)N,(th)P|,AB段:2V<uI<5V,TN开始通,内阻大;TP通,内阻小;uo开始下降。BC段:uI=5V,TN、TP均放大导通;uo随uI急剧下降(转折或放大区)。CD段:5V<uI<10V,TP高阻通,TN低阻通;uo趋于低电平。D段:uI>10V>UGS(th)N,TN通;|uI-VDD|<|UGS(th)P|,TP止;uo=0V。(2)有关参数:①阈值电压(门槛电压)UTH:使输出发生高低电平转换的输入电压值。CMOS反相器的UTH=VDD/2P42②输入端噪声容限:保证输出高低电平为规定值时,输入电平允许波动的最大范围。标志电路抗干扰能力。分:输入低电平噪声容限UNL一般取UNH=UNL=30%VDD输入高电平噪声容限UNH(VDD大,它们的值越大,抗干扰能力越强)2.输入特性:iI=f(uI)输入电压与输入电流之间的伏安特性。∵场效应管输入端绝缘,只有电压,没有电流,但为保护绝缘层不被击穿,在CMOS反相器输入端加了输入保护电路(二极管构成),这就会产生一定的输入电流。电路如P43图2.3.5:当输入电压在0V~VDD之间时,反相器正常工作,输入电流≈0,保护电路不起作用。当uI>VDD+UDF(0.7V),D1通,输入电流上升很快,且uG被钳位uG=VDD+0.7V当uI<-0.7V,D2通,输入电流反向上升,且uG=-0.7VVDDD1通输入特性两种情况,输入电流都是流过保护二极管,MOS管输入电流≈0。输出特性iIuo-0.7V0D2通VDD+0.7uI15V10VVDD=5VVDD=5V10V15V0io3.输出特性:uo=f(io)输出电压与输出电流之间的伏安特性。(1)特性分析:反相器接负载后,会产生负载电流;输出低、高电平时电流不同,分二种:①低电平输出特性:当uo=UOL时,负载电流IOL流入反相器(设为正值),使输出UOL随IOL的增加而上升。电路如P44图2.3.7(a)。②高电平输出特性:当uo=UOH时,负载电流IOH流出反相器(设为负值),使输出UOH随IOH的增加而下降。电路如P45图2.3.8(a)。由曲线看出:VDD越高,MOS管UGSN或|UGSP|就越大,其导通电阻就越小,输出电阻越小,输出电流io越大,负载能力越强。(2)有关参数:扇出系数NO:P44门电路在不影响输出高、低电平情况下,其输出端带同类型门的个数。NO=IO(ma某)/Ii。反映门电路最大带载能力。4.动态特性:有关参数(质量参数):(1)传输延迟时间tPHL和tPLH:P45。输入、输出波形变化至幅值50%所对应的时间差。产生原因:MOS管内阻、电容的存在及负载电容的影响,在开关过程中,使输出电压变化要滞后于输入电压变化,产生传输延迟。且还与VDD有关(VDD大,tPHL和tPLH小)。用平均传输延迟时间tpd=(tPHL+tPLH)/2表示。(影响开关速度;越小越好)(2)动态功耗:P46CMOS反相器在高、低电平转换瞬间有较大的瞬态电流所产生附加功耗。其大小与电源电压、输入信号频率、负载电容有关,它们越大,动态功耗越大。(越小越好)(3)速度—功耗积(dp积):P46平均延迟时间与空载功耗的积。(dp积越小越好)课后小结此内容学生不太容量懂,学习效果一般。第21、22课时课题(章节目)教学目的与要求重点难点授课班级日期、节次2.3.2CMOS逻辑门一、与非门----五、OD门掌握CMOS逻辑门的逻辑符号和逻辑功能(与非门、或非门、带缓冲级CMOS门、传输门、模拟开关、OD门)了解OD门上拉电阻的计算CMOS传输门、模拟开关、OD门的逻辑符号和表达式CMOS门的电路结构和OD门上拉电阻的计算教学过程引言:上面介绍的是CMOS反相器,除此之外还有CMOS:与非门、或非门、与门、或门、异或门等。★2.3.2CMOS逻辑门一、与非门1.电路结构:P46图2.3.11。有四个管子构成:T3、T4:为两PMOS管并接,作负载管。T1、T2:为两NMOS管串接,作开关管。2.功能分析:P46∴Z=AB当A、B中任一为0,T3、T4必有一通,T1、T2必有一止;Z=1当A、B中全为T4都止,T1、T2都通;Z=0二、或非门1.电路结构:P46图2.3.12。有四个管子构成:T3、T4:为两PMOS管串接,作负载管。T1、T2:为两NMOS管并接,作开关管。2.功能分析:P47∴Z=A+B当A、B中任一为1,T1、T2必有一通,T3、T4必有一止;Z=0当A、B中全为0,T1、T2都止,T3、T4都通;Z=1三、带缓冲级的CMOS门1.缓冲级:P47。在原电路的输入端和输出端都增加一级反相器,以恢复正常的高、低电平值和输出电阻。(因CMOS门串接管子多后,引起高、低电平值偏移和输出电阻变化)2.注意:与非门+缓冲级→或非门;或非门+缓冲级→与非门;P47图2.3.13故:若需带缓冲级的与非门,则要在或非门上加缓冲级。★四、CMOS传输门和模拟开关1.传输门:为一种基本单元电路。利用CMOS管互补特性构成。CuI/uouo/uITG(1)电路结构:P48图2.3.14(a)(2)逻辑符号:P48图2.3.14(b)C(3)工作原理:当C=0,C=1,uI为0~VDD时,TP、TN都止,uo呈高阻态;同开关断开。当C=1,C=0,uI为0~VDD时,TP、TN至少有一个通,uI=uo;同开关合上。(4)表达式:uo=uI/C=1,C=0uo=高阻态/C=0,C=1(5)用途:可以双向传递,作双向开关(入端、出端可互换)(第四章用)(CMOS传输门并非理想开关,导通时有几百欧姆的电阻,断开时约有109以上电阻。)2.模拟开关:由CMOS传输门与反相器构成。uI/uo(1)电路结构:P49图2.3.16(a)(2)逻辑符号:P49图2.3.16(b)、(C)(3)表达式:uo=uI/C=1SWCuo/uIuo=高阻态/C=0(如CC4066芯片,内含4个模拟开关)★五、漏极开路的CMOS门──OD门1.“线与”概念:将门输出端直接连在一起所实现的“与”功能。A1(在连接点处由导“线”形成“与”逻辑功能)Z=A·B=A+BB(非门变成或非门)注意:互补式(推拉式)输出级的门(普通门)不能线与。1因输出级工作时是一个管止,一个管通,输出电阻小。当一个门输出1,另一个门输出0时,必有一个大电流流过两门的输出级,使电路过载烧坏。2.OD门(漏极开路的门)+VDD(1)电路结构:TP管去掉,TN管漏极开路。TPRPuOuI工作时必须接上拉电阻RP来限制输出电流。TN1AZ(2)逻辑符号:(3)可以线与,但需外接上拉电阻RP。3.OD门上拉电阻RP的计算:n—线与OD门个数m—所带负载门输入端个数IOH—流进线与的每个门输出端电流最大值RP(ma某)=(VDD-UOH(min))/(nIOH+mIIH)(Z=1时)如P50图2.3.20电路最小值RP(min)=(VDD-UOL(ma某))/(ILM-m/IIL)(Z=0时)如P51图2.3.21电路∴RP(min)<RP<RP(ma某)RP:选两者中间值。如:0.5K<RP<10K,取RP=5.1KOD门缺点:RP受限制、工作速度受限制等。为克服其缺点,采用三态门。作业:P7313,16课后小结此内容学生容量懂,学习效果好。m—负载门的个数ILM—某线与门输出低电平时,流入它的电流最大允许值/第23、24课时课题(章节目)教学目的与要求重点难点授课班级日期、节次2.3.2CMOS逻辑门六、CMOS三态门----2.4.2其它类型的TTL门电路掌握CMOS三态门的逻辑符号和功能掌握TTL门的逻辑符号和功能了解TTL与非门电路和工作原理CMOS三态门和TTLOC门、三态门的逻辑符号和功TTL与非门的电路和工作原理教学过程复习:传输门、模拟开关、OD门逻辑符号和表达式。★六、CMOS三态门──TS门或TSL门三态门:指输出有三种状态的门。即:高电平(1态)低电平(0态)输出电阻小高阻态:输出阻抗极高,对负载可视为开路的状态。1.电路结构分二种:控制端EN高电平有效(输出为正常电平):如P52图2.3.23(a)控制端EN低电平有效(输出为正常电平):如P51图2.3.22(a)2.工作原理:以EN低电平有效为例:电路如P51图2.3.22(a)当EN=0时,T/1、T/2都通,T1、T2与电源、地接通,Y=A当EN=1时,T/1、T/2都止,T1、T2与电源、地断开,Y呈高阻态。A3.逻辑符号:A11YYENEN4.表达式:Y=A/EN=1或EN=0Y=高阻态/EN=0或EN=15.优点:(1)可以线与,而不需外接上拉电阻。(2)比OD门开关速度快。6.应用:(1)接成总

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论