数字电路讲义-第六章wv3-2013_第1页
数字电路讲义-第六章wv3-2013_第2页
数字电路讲义-第六章wv3-2013_第3页
数字电路讲义-第六章wv3-2013_第4页
数字电路讲义-第六章wv3-2013_第5页
已阅读5页,还剩58页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

七、集成同步BCD码计数器

可设置任意进制计数器16位寄存器七、集成同步BCD码计数器计数器小结

集成计数器第六节移位寄存器(SRG-ShiftRegister)实际需求:串行传输、无线通信移位寄存器从结构上看,是将若干个触发器级联起来按输入方式分:串行和并行输入按输出方式分:串行和并行输出按移位方向分:左移和右移例:设计一个“111”检测器第六节移位寄存器一、单向移位寄存器

串入并出特征方程:串出一、单向移位寄存器注意!!!在时钟上升沿同时移位一、单向移位寄存器一、单向移位寄存器右移左移移位寄存器特征方程:右移:左移:并、串输入--并、串输出LD=0并入LD=1串入有误第六节移位寄存器二、集成4位通用移位寄存器

单向移位双向移位4种模式第六节移位寄存器二、集成4位通用移位寄存器

二、集成4位通用移位寄存器二、集成4位通用移位寄存器M1M011预置01右移10左移00保持二、集成4位通用移位寄存器移位寄存器的扩展三、移位寄存器的直接应用关键是控制电路设计三、移位寄存器的直接应用并/串转换器-74LS166(串、并入/串出)三、移位寄存器的直接应用并/串转换器-74LS166(串、并入/串出)好处是?三、移位寄存器的直接应用并/串转换器-74LS166(串、并入/串出)三、移位寄存器的直接应用并/串转换器-74LS166(串、并入/串出)三、移位寄存器的直接应用串/并转换器——74LS164(串入/串、并出)三、移位寄存器的直接应用串/并转换器——74LS164(串入/串、并出)串/并转换器——74LS164(串入/串、并出)数据串行传输的发送1、加电+时钟计数器置全1Bit7=02、第二个时钟74166并行置数D0串行输出3、再来时钟74166串行移位计数器给出指示计数控制字、位指示电路存在的问题?数据串行传输的接收工作流程1、移位Bit0=1时存入LS3772、一帧结束清零存在的问题?四、移存型计数器1.环形计数器移位寄存器也可以构成计数器或分频器1.环形计数器其他循环→0001→1.环形计数器为什么说:移位寄存器也可以构成计数器或分频器→1.环形计数器1.环形计数器缺点:主循环状态比较少解决自启动办法:2.扭环形计数器2.扭环形计数器0000→其他2.扭环形计数器其他状态?2.扭环形计数器扭环形计数器也可作为计数器、分频器2.扭环形计数器移存型计数器的应用2.扭环形计数器如何解决自启动2.扭环形计数器解决办法卡诺图法:111100002.扭环形计数器解决办法卡诺图法:其他方法→思路?2.扭环形计数器如何解决自启动:其它可行方案注意!!!先选择方案然后进行验证五.序列发生器(一)、什么是序列发生器产生序列信号的电路称为序列发生器五.序列发生器例6-7试分析如图时序电路,列出状态表和状态图,说明其功能五.序列发生器五.序列发生器五.序列发生器方法二:用移存器直接产生序列五.序列发生器●当序列很长时:器件增加、受到干扰易跑飞(可能不能自启动)●如何用级数不多的移存器,产生循环长度最大的序列来,而且电路是易于自启动的。●研究表明:由异或门组成的反馈网络用于移存器时,所构成的序列发生器就有这种特性,其构成如图6-58所示。由于异或函数就是模2加法运算,故适用叠加原理,所以这类序列发生器又称为线性序列发生器。五.序列发生器对于n位移存器,产生最长的序列—m序列五.序列发生器例6-8试分析如图所示序列发生器五.序列发生器序列中1的个数:8个

0的个数:7个五.序列发生器五.序列发生器—补充(数字电路逻辑设计,高教,王毓银)(一)什么是序列发生器(二)设计给定序列信号的产生电路方法:

1.移存型序列信号发生器

2.计数型序列信号发生器(二)设计给定序列信号的产生电路

1.移存型序列信号发生器例:设计产生序列信号11000、11000、……的发生器解:分析状态,确定触发器个数状态转换表(二)、设计给定序列信号的产生电路D1(Q1n+1)卡诺图为自启动检查(二)、设计给定序列信号的产生电路(二)设计给定序列信号的产生电路

1.移存型序列信号发生器例:设计产生序列信号11000、11000、……的发生器解:分析状态,确定触发器个数状态转换表问题:如何用触发器设计本题?Q3nQ2nQ1n3n+12n+11n+1011010011000002000001300101140111105xxxxxx经化简得:Q3n+1=Q2nQ2n+1=Q1n

2.计数器型序列信号发生器例:设计产生序列信号1111000100、1111000100、……的发生器解:分析状态数(计数0-9,输出:F)

2.计数器型序列信号发生器输出F卡诺图五.序列发生器(一)、什么是序列发生器(二)、设计给定序列信号的产生电路(三)、根据序列循环长度M的要求设计发生器短序列:长序列:第七节

用集成器件设计时序电路例6-16试设计1011序列检测电路用移位寄存器设计方法二:(例6-16试设计1011序列检测电路)第七节

用集成器件设计时序电路例6-17试用SSI设计一可变序列检测器,当控制变量X=0时,电路能检测出序列Y中的“101”子序列;而当X=1时,则检测“1001”子序列。检测器输出为Z,且被检测序列不可重叠。X=0时,检测“101”子序列;X=1时,则检测“1001”子序列。101100101本章总结:1.时序电路的分析(异步、同步)2.时序电路的设计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论