实验一运算器组成实验_第1页
实验一运算器组成实验_第2页
实验一运算器组成实验_第3页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、33.1运算器组成实验一、实验目的熟悉双端口通用寄存器堆的读写操作。熟悉简单运算器的数据传送通路。74LS181的算术逻辑功能。按给定数据,完成指定的算术、逻辑运算。二、实验电路数据显示灯DBUS7ALU-BUS#数据显示灯DBUS7ALU-BUS#DBUS0LDRi三态门(244)CT3Cn+4F7F6F5CnF3F2F1Cn+4ALU(181)Cn#CnALU(181)A7A6A5A4 B7B6B5B4A3A2A1A0 B3B2B1B0LDDR1SDR1(273)LDDR2DR2(273)T2T2SRRD1RD0AB双端口通用寄存器堆RF(ispLSI1016)三态门RS1 RS0WR1

2、WR0LDRiT3SW-BUS#三态门(244)数据开关(SW7-SW0)图3.1 运算器实验电路图 3.1 示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0 来设置,然后输入到双端口通用寄存器堆RF 中。RF(U54)由一个ispLSI1016 8 算的数据,运算后的结果也要送到RF 、RS0 用于选择从B 端口(右端口)RD0 用于选择从A 端口(端口)读出的通用寄存器。而 WR1、WR0 LDRi 信号,当LDRi1 时,数据总线DBUS 上的数据在T3 写入由WR1WR0 RF AB 端口分别与操作数暂存器DR1DR2 B 三态

3、门连接到数据总线DBUS 上,因而RF 中的数据可以直接通过B 端口送到DBUS 上。DR1(U47)和DR2(U48)1 74LS273 DR1 A ALU B ALU(U31U35)74LS181 构成,ALU 的输出通过一个三态门发送到数据总线DBUS 上。实验台上的八个发光二极管DBUS7-DBUS0 DBUS 或运算结果。另有一个指示灯C 显示运算器进位标志信号状态。S3S1LDDR1LDDR2ALU_BUS#SW_BUS#LDRiRS1RS0RD1RD0WR0 都是电位信号,在本次实验中用拨动开关K0K15 T3 为时序脉冲信号,印制板上已连T1T2T4 需将实验台上的DPDB 开

4、关进行正确设置。将DP 0,每按一次QD 按钮,则顺序产生T1T2、T3、T4 一组单脉冲。74LS181 S3、S2、S1、S0、 、Cn 74LS181的管脚分配和输出端功能符号详见图3.2。图 3.2 74LS181 管脚分配和输出端功能符号74LS181 功能表见表 运算,符号“/”表示逻辑“非”运算,符号“加”表示算术加运算,符号“减”表示算术 减运算。选择S3 S2 S1 S0M=1逻辑操作选择S3 S2 S1 S0M=1逻辑操作M=0算术操作无进位) Cn#=0(有进位)111110F=A+/BF=(A+B)加AF=(A+B)加A 1111101F=A+BF=AF=(A+/B)加

5、AF=A 减 1F=(A+/B)加A 1F=A0000F=/AF=AF=A 加 10001F=/(A+B)F=A+BF=(A+B)加 10010F=/A*BF=A+/BF=(A+/B)加 10011F=0F=减 1(2 的补)F=001010001010110011110001001101010111100F=/(A*B)F=A 加 A*/BF=A 加 A*/B 加 1F=/BF=(A+B)加A*/BF=(A+B) 加A*/B 1F=(/A*B+A*/B)F=A 减 B 减 1F=A 减 BF=A*/BF=A*/B 减 1F=A*/BF=/A+BF=A 加 A*BF=A 加 A *B 加 1F

6、=/(/A*B+A*/B)F=A 加 BF=A 加 B 加 1F=BF=(A+/B)加A*BF=(A+/B)加A*B 1F=A*BF=A*B 减 1F=A*BF=1F=A 加 AF=A 加 A 加 1三、实验设备TEC-51 台逻辑测试笔一支(在TEC-5实验台上)双踪示波器一台(公用)万用表一只(公用)四、实验任务按图 3.1 内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号模拟开 关、与运算器模块的外部连线。注意:为了建立清楚的整机概念,培养严谨的科 研能力,手工连线是绝对必要的。用开关SW7SW0 向通用寄存器堆RF R0R3 寄存器置数。然后读出R3 的内容,在数据总线

7、DBUS 上显示出来。验证ALU的正逻辑算术、逻辑运算功能。在M=0 M=1 两种情况下,令S3S0 的值0000B CC 由指示灯注意:进位C 是运算器ALU 最高位进位Cn+4#的反,即有进位为10五、实验要求的模拟开关的作用和使用方法。写出实验报告,内容是:实验目的。2 的要求,列表表示出实验结果。3 3.1 74LS181 3.2中记录实验值。六、实验步骤和实验结果(1实验任务2 的实验步骤和结果如下(假定令R0=34R1=21R2=52R3=65)置 DP=1,DB=0接线表如下:数据通路WR0WR1RS0RS1SW_BUS#RS_BUS#LDRi电平开关K0K1K2K3K4K5K6

8、打开电源以下 4 条是将 34H、21H、52H、65H 分别写入R0、R1、R2、R33 置 K0(WR0)=0,K1(WR1)=0,K4(SW_BUS#)=0,K5(RS_BUS#)=1, K6(LDRi)=1,SW7SW0=34H。在DBUS 上将观察到DBUS=34H。按QD 按钮,将 34H 写入R0。4 置 K0(WR0)=1,K1(WR1)=0,K4(SW_BUS#)=0,K5(RS_BUS#)=1, K6(LDRi)=1,SW7SW0=21H。在DBUS 上将观察到DBUS=21H。按QD 按钮,将 21H 写入R1。5 置 K0(WR0)=0,K1(WR1)=1,K4(SW_

9、BUS#)=0,K5(RS_BUS#)=1, K6(LDRi)=1,SW7SW0=52H。在DBUS 上将观察到DBUS=52H。按QD 按钮,将 52H 写入R2。6 置 K0(WR0)=1,K1(WR1)=1,K4(SW_BUS#)=0,K5(RS_BUS#)=1, K6(LDRi)=1,SW7SW0=65H。在DBUS 上将观察到DBUS=65H。按QD 按钮,将 65H 写入R3。以下 4 条是在DBUS 总线上显示R0、R1、R2、R3 的值7置K2(RS0)=K3(RS1)=K4(SW_BUS#)=K5(RS_BUS#)=,K6(LDRi)=DBUS上将观察到DBUS=34H。8置

10、K2(RS0)=K3(RS1)=K4(SW_BUS#)=K5(RS_BUS#)=,K6(LDRi)=DBUS上将观察到DBUS=21H。9置K2(RS0)=K3(RS1)=K4(SW_BUS#)=K5(RS_BUS#)=,K6(LDRi)=DBUS上将观察到DBUS=52H。置 K2(RS0)=1,K3(RS1)=1,K4(SW_BUS#)=1,K5(RS_BUS#)=0, K6(LDRi)=0,在DBUS上将观察到DBUS=65H。(2)实验任务 3 的实验步骤和实验结果如下:数据通路WR0WR1RD0RD1RS0RS1数据通路WR0WR1RD0RD1RS0RS1LDRi电平开关电平开关K0

11、K1K2K3K4K5K6数据通路LDDR1LDDR2S0S1S2S3M电平开关K7K7K8K9K10K11K12数据通路 数据通路 ALU_BUS#电平开关 K13SW_BUS#K14Cn#VCC1 打开电源以下 2 条是向R0 写入 55H,向 R1 写入 0AAH。2 置 K0(WR0)=0,K1(WR1)=0,K6(LDRi)=1, K13(ALU_BUS#)=1,K14(SW_BUS#)=0。置 SW7-SW0 为 55H,按QD 按钮,将 55H 写入R0。3 置 K0(WR0)=1,K1(WR1)=0,K6(LDRi)=1, K13(ALU_BUS#)=1,K14(SW_BUS#)

12、=0。置 SW7-SW0 为 0AAH,按QD 按钮,将 0AAH 写入R1。以下 1 条是将R0 写入DR1,将 R1 写入DR2。4LDDR2)=1。按 QD 按钮,将R0 写入DR1,将R1 写入DR2。这时DR1=55H,DR2=0AAH。以下 2 条是M=H 时进行逻辑运算。5 置 K6(LDRi)=1,K7(LDR1 和 LDR2)=0,K8(S0)=0,K9(S1)=0,K10(S2)=0,K11(S3)=0,K12(M)=1,K13(ALU_BUS#)=0,K14(SW_BUS#)=1。在数据总线DBUS 上观察到逻辑运算结0AAH。按QDC。6 其他开关设置都不变,只改变 K

13、8(S0)、K9(S1)、K10(S2)、K11(S3)的设置,观察其他15 种逻辑运算结果,并按QD 按钮,观察进位C。以下 2 条是M=L 时进行算术运算。7 置 K6(LDRi)=1,K7(LDR1 和 LDR2)=1,K8(S0)=0,K9(S1)=0,K10(S2)=0,K11(S3)=0,K12(M)=0,K13(ALU_BUS#)=0,K14(SW_BUS#)=1。在数据总线DBUS 上观察到算术运算结55H。按QDC。8 其他开关设置都不变,只改变 K8(S0)、K9(S1)、K10(S2)、K11(S3)的设置,观察其他15 种算术运算结果,并按QD 按钮,观察进位C。实验结果如下:工作方式输入选择逻辑运算(M=H,Cn#=1)工作方式输入选择逻辑运算(M=H,Cn#=1)算术运

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论