2017电子技术实验指导书_第1页
2017电子技术实验指导书_第2页
2017电子技术实验指导书_第3页
已阅读5页,还剩58页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、电子技术实验指导书电子信息工程学院电工教研室班级: 姓名: 学号:0 PAGE PAGE 6实验室管理制度认真预习实验内容。随意调换实验组件,有问题及时向老师提出。禁止在实验室吃(早餐)上乱涂乱画。实验前清点导线:细导线红、黑各10 20 电工技术实验室目录实验一常用电子仪器的使用3实验二单管放大电路8实验三射极输出电路14实验四集成运算放大器的应用18实验五直流稳压电源23实验六门电路逻辑功能及测试28实验七组合逻辑电路设计31实验八译码、显示器电路36实验九触发器及其应用43实验十计数器及应用52实验一 常用电子仪器的使用一、实验目的1、通过实验,学会常用电子仪器的操作和使用;2、初步掌握

2、用示波器测量交流电压波形、幅值、频率的有关方法。3、学会正确调节函数信号发生器频率、幅度。二、实验原理万用表等,如图所示直流稳压电源直流稳压电源实验信号发生器信号实验电路输出波形示波器输出有效值电压表交流毫伏表图 1-1 电子技术实验中测量仪器连接图三、预习与思考题1、 在本实验中,周期性信号的有效值和幅值各用什么仪器测量?2、正弦波矩形波三角波的幅值均为它们的有效值Uk与Um的系为:正弦:Uk =Um矩形:Uk =Um三角:Uk =Um3、根据表 53 给定波形的幅值计算它的有效值填入表格中四、实验设备1、JSGD-5 型电工电子技术实验台直流电源、直流电压表、直流信号源、DDS 函数信号发

3、生器2、交流毫伏表3、双踪示波器五、设备介绍1、LM2170D 全自动数字交流毫伏表用于测量交流信号的电压有效值。52MHZ100V300 2、DDS 函数信号发生器的使用信号输出输出波形:正弦、方波、三角波、锯齿波输出最大幅度:10Vp-p输出频率:0.012MHz 输出频率范围:0.012MHz(自带频率显示幅度:3.5 Vp-p扇出系数:20TTL 负载3、GOS-620 双轨迹示波器(6MH )的使用ZCRT显示屏CAL:标准信号输出用于校准垂直偏转及水平偏转的灵敏度。INTEN:轨迹及光点亮度控制钮。FOCUS:轨迹聚焦调整钮。(6)POWER:电源开关。VERTICAL垂直偏向(8

4、)CH1(20)CH2:信号输入端(10(1)输入信号耦合选择开关(7(2)VOLTS/DI:CH1、CH2 信号幅度衰减选择钮。(9(2AR:VOLTS/DIV 显示值(1(1)POSITION:CH1、CH2 波形轨迹垂直位置调整钮。(14)MODE:选择是否接受 CH1、 CH2 的信号输入。TRGGER 触发(23)SOURCE:触发源选择开关,与(14)VERTICAL MODE 配合使用(25)MODE:触发模式选择开关,当没有信号输入时选择AUTO 旋转LEVELNORM 否则容易出现波形不稳的现象。LEVEL:触发准位调整钮,旋转此钮可出现稳定的波形。HORIZONTAL水平偏

5、转TIME/DIV的格数。SWP TIME/DIV的示数值。POSITION:波形轨迹水平位置调整钮。六、实验注意事项1、示波器的辉度不要过亮。2、为防止外界干扰信号,信号发生器的接地端与示波器的接地端要连接在一起(称共地)。3、波形幅值的测试“ VOLTS/DIV”档位值要选的合适,过大不利于观测幅值,过小幅值超过显示屏无法测试;测频时“TIME/DIV” 档位值要选的合适,过大不利于观测周期,过小周期显示屏无法测试。4、在本实验中,由于示波器用来定量测定,所以在使用前一定要先校准。5、在观测信号波形时,为了提高测量精度,选择合适的垂直衰减(VOLTS/DIV)和扫描时间(TIME/DIV)

6、,使一个周期信号的波形占满屏幕。七、实验内容(实验前先看注意事项)1、双踪示波器的校准开启示波器电源,指示灯亮,触发模式(TRIGGER MODE)选择开关打在自动上,调节示波器面板上的“辉度YAYB(VOLTS/DIV)和TIME/DIV(尽量使一个周期信号占满屏幕1KHz)作比较,如相差较大,调VAR2、用示波器测量交流信号的幅值及频率使信号发生器输出 1KHZ 的三角波,将信号发生器输出端与示波器输入端连接。将示波器“V/DIV”1-11-11-1表11信号幅值的测试数据示波器“VOLTS/DIV”位置0.10.20.512峰峰值波形格数信号幅值(V)88864将示波器“TIME/DIV

7、1-2101-2表 12 信号频率的测试数据(每个周期信号占 10 格)示波器“TIME/DIV”位置示波器“TIME/DIV”位置信号频率0.2ms 0.1ms50s50s20s10s3、交流信号有效值的测量,测频方法的对比使信号发生器输出正弦波;钮,由示波器测出信号幅值为 1V,调节信号发生器的参数旋钮,由示波器测500Hz1313重复a1KHz、幅值为3b1-3。(213,重复上述步骤。(313,表 13波形波形幅值 Um示波器观测13V0.51.52V4V频率U示波器观测500HZ1KHZ2KHZ2.5KHZ5KHZ10KHZ屏幕显示理论计算实测正弦波方波三角波4.波形的非稳态观查示波

8、器 TRGGER MODE 置 AUTO 位置,SOURCE 置 CH1,TIME/DIV 置0.2 0.1s,1KHZCH1 LEVEL MODE 置 NORM 位置时波形的不稳定状态会出现么?此时TIME/DIV 50ms 以上,记录观察到的现象。5、双通道信号的观察VERTICAL 垂直偏向(14)MODEDUAL,(16)CH2 INV CH1CH2 1KZ TRGGER 触发旋钮,使屏幕上得到稳定的图形,记录之,注意两信号的相位关系。七、实验报告要求1、信号幅度显示格数越多幅值是否越大,为什么? 幅值的测量与那个旋钮有关? 信号周期显示格数越多频率是否越小,为什么? 频率的测量与那个

9、旋钮有关?2、观察示波器本机标准信号(2VP-P、1KHZ)时,要在荧光屏上得到两个周期的稳定波形,而幅度要求为五格,试问Y VOLTS/DIVX 轴 TIME/DIV又应置于哪一档位置?写出分析过程。3、应用双踪示波器观察到如图12所图示的两个波形,Y 轴的“VOLTS/DIV”的指示0.5V,XTIME/DIV20,试问这两个波形信号的峰值和频率各为多少?写出计算过程。4、如用示波器观察正弦信号时,荧光屏上出现图13 几种情况时,试说明示波器哪些旋钮的位置不对?应如何调节才能得到稳定正弦波形?()()(c)7137() PAGE PAGE 26实验二 单管放大电路一、实验目的1、了解和掌握

10、放大电路静态工作点的测量方法;学习根据测量数据计算电压放大倍数、输入电阻和输出电阻的方法。;2、了解电路元件参数改变对静态工作点及电压放大倍数的影响。3、进一步掌握示波器、信号发生器、毫伏表的使用方法。二、实验原理图 2-1 为电阻分压式工作点稳定的共射级单管放大器电路。它的偏置电路Rb1 和Rb2 组成的分压电路,并在发射极中接有电阻Re,以稳定放大器ui 后,在放大器的输出端便ui 相位相反,幅值被放大了的输出信号u0大。21 RB1 RB2 的电流远大于晶体管T 的基极电流 IB时(一般大于 10 倍),则其静态工作点可用下式估算RU RB1U I BBE I, U I(RR )BRB1

11、B2CCRRE/ RCCCCCE电压放大倍数ACLVrBE输入电阻 Ri R/R/ rBE输出电阻R RoCRp1500KRc4K3Rb1R1C120KVcc+12V5K1UsVRb220KRe1K5CeRL Uo4K3图2-1单管共射放大电路1、 放大器静态工作点的测量与调试(1)静态工作点的测量ui0 UB、UC UE。一般实验中,为了避免断开集电极,所以采用测量电压UE UC,然后算出的方法,E例如,只要测出U ,即可用 I I U/ R 算出I ECEEEUUU,UUU。BEBECECE(2) 静态工作点的调试I (的调整与测CCE试。静态工作点是否合适,对放大器的性能和输出波形都有很

12、大影响。如静态oo的负半周o将被削底,如图 22(a)所示;如静态工作点偏低则易产生截止失真,即 u 的oi正半周被缩顶,如图 22(b)所示。这些情况都不符合不失真放大的要求。所u u i静态工作点偏高(b)静态工作点偏低o图 22 静态工作点对 u 波形失真的影响oVCC RC RB(Rp1、)都会引起静态工作点RB1B2R21 Rp1 Rp1,则可使静态工作点提高增大)。最后还要说明的是,上面所说的工作点“偏高”或“偏低”不是绝对的,应该线的中点。2、放大器动态指标测试出电压(动态范围)等。AV 的测量调整放大器到合适的静态工作点,然后加入输入电压 ui,在输出电压 uoui uo Ui

13、 U ,oUU则AoUViRi 的测量为了测量放大器的输入电阻,按图 23 所示电路在被测放大器的输入端RUS Ui,则根据输入电阻的定义可得:iiiRU UURiiiiIUiRRUUSiRo 的测量按图 2-3 所示电路,在放大器正常工作条件下,测出输出端不接负载 RLLUo U ,LR根据ULLU RRoL即可求出: Ro /Uo1)RL图 23 输入、输出电阻测量电路在测试中应注意,必须保持 RL 接入前后输入信号的大小不变。(4)(4) 最大不失真输出峰UOP的测量(最大动态范围R (改变静态工作点),uo 。当输出波形同时出现削底和缩顶现象(24)时,说p1U (有ok效值则动态范围

14、等于2或用示波o图 24号太大引起的失真器直接读出峰-UoP。三、预习与思考题1、思考单管共射放大电路接入交流负载后,输出电压是增大还是减小? 根据理论表达式分析2、画出输出电压波形判断截止失真、饱和失真的波形3晶体管的管压降UCE过大容易出现失真过小容易出现失真如果输出波形既出现饱和失真又出现截止失真是否说明静态工作点设置的不合理?为什么?四、实验设备与器件1、JSGD-5 型电工电子技术实验台直流电源、直流电压表、DDS 函数信号发生器2、实验组件六3、双踪示波器五、实验内容五、实验内容21 所示,其电器连接见组件六。为防止干扰,各仪器的公共端必须连在一起,同时信号源和示波器的引线应采用专

15、用电缆线或屏蔽线,如使用屏蔽线,则屏蔽线黑夹子应接在电路的电源地上。1静态工作点的调整实验电路接通+12V 直流电源,调节 Rp1 用直流电压表测量三极管C、E 间电压,使UCE 的电压在 39V 之间,记录于表 21 中。如果UCE 的电压不可调说明三极管已坏。22、放大器动态指标测试1kHz uS ,函数信号发生器的幅100倍(屏幕显示-40)uo 波形,在波uS、u i 、uo 的有效值,并用双通道观察uo 和 ui 的相位关系,并记入表2-1 中。表 21UCER /kLUskUikUok观察记录一组u 和 u 波形o1u itu o同上4.3t3、测量最大不失真输出电压负载R4.3k

16、2.(4S两端加入一个1kHzLRp1 LRp1 态工作点已接近交流负载线的中点,用示波器分别测量uSui uO 的有效值okUskUik、U ,用示波器观测输出信号的峰峰值U,最后uS ok(即:关掉信号发生器)UCE22 中。然后断开LR /kL4.3UskLR /kL4.3UskUik22UokUopUCE* 4观察静态工作点对输出波形失真的影响负载R 4.3k 情况下,旋转R使U=3V,旋转信号源的幅度调节旋钮使输出波形略微失真,绘出 u 的波形。再旋转RLP1CEu UoP1UCE=9V,旋转信号的时,都要去掉信号发生器。o值CE23UCE/Vu 波形0失真情况管子工作状态旋转RP1

17、3旋转RP19六、实验报告要求:整理实验数据12-1 R 4.3k A RiRo。LV22-2 R 4.3k A RiRo。LV3、总结静态工作点(即:Uce)A 、R 、Ro 的影响4、根据表2-3 实测数据 U响,Vi,说明静态工作点变化对放大器输出波形的影CE实验三 射极跟随器一、实验目的1、 掌握射极跟随器的特性及测试方法。2、 进一步学习放大器各项参数测试方法。二、实验原理射极跟随器的原理如图 3-1 所示。 它是一个电压串联负反馈放大电路, 它具有输入电阻高,输出电阻低,电压放大倍数接近于1,输出电压能够在较大范围内跟随输入电压作线性变化以及输入、输出信号同相等特点。射极跟随器的输

18、出取自发射极,故称其为射极输出器。图3-1射极跟随器Ri 3-1电路可知iBERr(1iBE如考虑偏置电阻 RB 和负载RL 的影响,则RR r(1)(R R )iBBEEL由上式可知射极跟随器的输入电阻 Ri 比共射极单管放大器的输入电阻RiR rRB要高得多,但由于偏置电阻RB的分流作用,输入电阻难以进一步提高。式中,rBE为 BE 结的交流电阻。输入电阻的测试方法同单管放大器一样,实验线路如图 3-2 所示。因此,射极跟随器的输入电阻 Ri 为uuR i iRiuuiisi即只要测得 A、B 两点的对地电位即可计算出 Ri。Ro 的计算3-1 Ror/ RErBERs10KRp 500K

19、C110KC2Vcc+12VUsUIRe5K1RLUo5K1图3-2射级跟随器实验电路R如考虑信号源内阻,则RSSSr(R/R)r(R/ R )SRBESoB/RBEE由上式可知射极跟随器的输出电阻 Ro 比共射极单管放大器的输出电阻R R 值愈高,则输出电阻愈小。oC输出电阻 Ro 的测试方法亦同单管放大器一样,即先测出空载输出电压Uo RL ,根据LLURULLRo RRoLRULULRRoLA电压放大倍数AV的计算由图 4-1 电路可知,AV 值为 )(R/ R )EAEVr )(RBEEL1/ R )L1(1)倍,所以它具有一定的电流和功率放大作用。oo的峰峰值计算电压跟随范围是指射极

20、跟随器输出电压 uoui作线性变化的超过一定范围时,uoui作线性变化,即 uo波形产生了失真。为了使输出电压 uo正、负半周对称,并充分利用电压跟随范围,静态工作点应选在交流负载线中点测量时可直接用示波器读取u 的峰-峰值U,即电压跟随范围;或读取 uooo,则电压跟随范围ok三、预习与思考题2U2oU2认真阅读实验原理,了解射级跟随器的工作特点四、实验设备与器件1、12V直流电源;2、函数信号发生器3、双踪示波器;4、直流电压表;5、实验组件四五、实验内容静态工作点的调整:实验电路见实验组件四。12V 直流电源,将电路中的Rp 顺时针旋转到底,用直流电压表测量CE晶体管的静态工作点确保UB

21、E0.7VU3V 否侧三极管已损毁将测量数CE据记录表 31 中。表 31UBE=UCE=oi测量电压放大倍数Av、输入电阻R及UoiUS 1kHz 的正弦信号,用示波器观察u ,调节函数信号发生器的幅度调节旋钮,在波形不失o真的条件下用示波器分别测量 uS、ui 、uO 的有效值 Usk、Uik、U示波器观察 uo 和 ui 的相位关系,并记入表 3-2 中。,并用双踪okLR /kUskUikL5.1Uo观察记录一组uou iu o和 u 波形1tt33测试跟随特性R Ui 正弦信号,确保输出波形不失Uim 3-3 ULOk3-3 中。注意:整个测试过程用双踪示波器双通道观测输入、输出的波

22、形。Uim/VUim/VUOk / V0.5表 3-3122.53六、实验报告:六、实验报告:整理实验数据3-1 大倍数值(Rp 的值为 500K)。、IE IC 及晶体管的电流放(2)3-2 A 、R 。Vi实验四 集成运算放大器的应用1、加深理解集成运算放大器的基本性质和特点。2、学习用运算放大器构成基本运算电路的方法和电路功能。二、实验原理:微分和对数等模拟运算电路。理想运算放大器特性化。满足下列条件的运算放大器称为理想运放:开环电压增益A ;输入阻抗R;输出阻抗R0;Vd带宽 fio; 失调与漂移均为零等。BW理想运放在线性应用时的两个重要特性:Uo与输入电压之间满足关系式U AoVd

23、U)由于A ,而U 为有限值,因此U0V。UU,称为“虚Vdo短”。RI iiB断”。这说明运放对其前级吸取电流极小。上述两个特性是分析理想运放应用电路是基本原则,可简化运放电路的计算。状态。通过对输入和输出电压的测量来计算电压放大倍数。RfRf2基本运算电路(1)反相比例运算电路4-1该电路的输出电压与输入电压之间UiR1UoR2的关系为:Ru ufoRi1图 4-1 反相比例运算电路R R / R 。21f(2)反相加法运算电路4-2UR2Rfi2R1Ui1 u (oRUofui1RRfRu=R/R/Ri2312f12R3(3)同相比例运算电路图 4-3(a)是同相比例运算电路,它的输出电

24、压与输入电压之间的关系为图4-2 反相加法运算电路u R )u ,R =R/RfoRi21f1R2 R2 R1R2 UiUoUoUi图4-3 (a) 同相比例运算电路图4-3(b) 电压跟随器电路图4-3 (a) 同相比例运算电路图4-3(b) 电压跟随器电路Ru 4-3(b)R=R ,1oi2f用以减少漂移和起保护作用。一般 Rf取 10k,Rf太小起不到保护作用;太大则影响跟随性。差动放大器电路R1R2R1R2R3的输出电压与输入电压之间的关系为Rf RfUi1UoUo R R 1RI 2 R UI1Ui223 1 1图4-4 差动放大器电路Rf/R1=R3/R2图4-4 差动放大器电路时

25、,则Uo R fRU I RRU I1 ,当21R1=R2=Rf时,可构成减法器即Uo U I 2 U I1。三、预习与思考题1、在报告纸上画出表4-14-4的数据表格,并根据表格中的电路参数推算Uo与Ui的关系(参见表4-1),并填入对应的表格。四、实验设备1、JSDG5 电工电子技术实验台要用到的仪表:直流电压表、直流电源2LM358,五、注意事项1、集成运放的输入信号的公共端是电源地。2Uo区,输入信号不宜超过(VCC-2V)运放的放大倍数。六、实验内容与步骤:本实验使用的电路芯片是2运放LM358两个独立的、高增益的双运算放大器,管脚排+V2VC2IN-2IN+V 的范围是8脚接CC+

26、12V,4脚接-12V。1、反相比例运算电路4-1C 8765O数见表4-1,用直流电压表测量U ,记入表4-1 中。O121VO341IN+-VCC表4-1反相比例放大器实验结Lm358管脚排列输入电压 电阻值-1UiR1R210R1/RfR100Rf 100R1 100-10UiR2R1/RfRf 100oUo Ui 的关系 uo f u R1 10 10ui实取U值U=U=iii实测 Uo 值2、反相加法运算电路按图4-2连接电路,电阻参数见表4-2,用直流电压表测量U ,记入表4-2中。O表4-2反相加法放大器实验结果输入电压输入电压-2(U +U )2i1i2R1R2R3Rf电阻值(

27、k)2020R1/R2/Rf100推算Uo 与Ui U 、U 值i1i2U =、i1U i2U 值o3、同相比例运算电路O按图4-3连接电路,电阻参数见表4-3,用直流电压表测量U ,记入表4-3中。O表 4-3同相比例放大器实验结果输入电压-1.7U1.7i-10U10iR1R2RfR1R2Rf电阻值R/R201R1002020fUo Ui 系实取 UI值U=U=iio实测 U 值o4、差动比例放大器O4-4 4-4,U 中。O输入电压-2(U -U )2I2I1-10(U -U )10I2I1输入电压-2(U -U )2I2I1-10(U -U )10I2I1电阻值(k)推算Uo 与Ui

28、实取 U 、U 值I1I2i1i2i1i2U 值oR1R2R3RfR1R2R3Rf20U=、20100U =10010U=、1010U =10七、实验报告要求:14-14-4误的原因。2、如果4-14-4中的输入电压超出指定范围,放大器的工作状态会发生什么变化?输出电压为多少?实验五 直流稳压电源一、实验目的研究单相桥式整流、电容滤波电路的特性。(2)二、实验原理(市电转变为直流电的直流稳压电51 所示。图51直流稳压电源框图Iu1(220V,50Hz) u2,然后由整流电路变换成方向不变、大小随时间变化的脉u3u I稳定。本实验所用集成稳压器为三端固定正稳压器W7812,它的主要参数有:输U

29、12V,输出电流 L:0.1AM:0.5A,电压调整率 10mV/V,0R0.15 ,输入电压U1517V 。因为一般UU30II05V ,才能保证集成稳压器工作在线性区。图52是用三端式稳压器W7812构成的单电源电压输出串联型稳压电源C C 一般选取几百12C(数值为0.33 F ),以抵消3C(0.1 F)用以滤除输出端4的高频信号,改善电路的暂态响应。图52 由W7815构成的串联型稳压电源稳压器的主要性能指标:输出电压U0(2)最大负载电流(3)输出电阻RO输出电阻 R0 定义为:当输入电压Ui(指稳压电路输入电压)保持不变,由于负载变化而引起的输出电压变化量与输出电流变化量之比,即

30、URoS(电压调整率)oIo U 常数i稳压系数定义为:当负载保持不变,输出电压相对变化量与输入电压相对变化量之比,即ooS UooUiiRL常数由于工程上常把电网电压波动10做为极限条件,因此也有将此时输出电压的相对变化U U做为衡量指标,称为电压调整率。OO纹波电压输出纹波电压是指在额定负载条件下,输出电压中所含交流分量的有效值(或峰值)。三、预习与思考题1将会出现什么问题?2U012V,min四、实验设备与器件又怎样确定?,min可调工频电源;(2)双踪示波器;(3)直流电压表(4)直流电流表;(5)实验组件六(6)二极管1N4007、电阻器、电容器若干。五、实验内容1、整流滤波电路测试

31、53 17Vu2。注意: 每次改接电路时,必须切断工频电源,二极管及电容切记不可接反,否则烧坏器件,接好电路确保无误方可通电。图 53 整流滤波电路LR 240 L,用交L波形峰-峰值的大小,记入表 51 。LLLL51。取 R240,C 分别100F、470F,重复内容 (1)的要求,记入表510 ,重复内容(2)51。L表 5-1LL电 路形式U /Vu /Vu LLR=240RLR =240L1C=100F2C=470FRL=5101C=100F2C=470F集成稳压器性能测试断开工频电源,按图52 连接实验电路,负载断开,不接C3、C4初测接通工频17V电源,测量u2值;测量滤波电路输

32、出电压 Ui(稳压器输入电压),集成稳压器输出电压U0,它们的数值应与理论值大致符合,否则说明电路出了故障。因此,必须设法查找故障并加以排除。稳压性能的测试a稳压性能的测试a、 测量稳压系数 SR 52 模拟电网电压波动Ui 及输出直流电压U052。表 52L2测试值u /V21517U /ViU /Vo计算值SS=12b、测量输出电阻Ro输出端负载电阻R 依次为240、510,测量对应的输出电流I 、U ,记L005-3测试值计算值R (5-3测试值计算值R ()L240510IOU /VoR /oRo12=Ro23=c、 测量输出纹波电压u217V,U012V,R 220,测量输出纹波电压

33、L,记录之。0六、实验报告要求1151所测结果进行全面分析,总结桥式整流电路的特点、滤波电容对输出电压的影响。252 53 S R0,并进行分析。3、从实验内容 1 和 2,说明直流稳压电路与桥式整流电路的输出稳定度和纹波系数的改善。实验六 门电路逻辑功能、测试及应用一、实验目的1、熟悉门电路逻辑功能。2了解门电路的简单控制。3、学习电教学实验箱的使用方法。二、实验仪器1、电教学实验箱2 、LM2171D 全自动数字交流毫伏表3、集成电路芯片 74LS00、74LS32 等三、实验原理1、与非门的逻辑功能当(即有“0”得全得”。其逻辑功能见图6-,逻辑表达式为。AB&AB&YA B1Y6-1与

34、非门6-2或门当“1”得“1”0得”6-A+B。三、预习与思考题1、复习门电路工作原理及相应的逻辑表达式。2、熟悉逻辑代数基本定律、公式以及利用摩根定理实现逻辑门转换。3、用与非、非的关系完成下述 Z 表达式:Z A B=b)Z A B=4、 用与非门实现上述功能,画出逻辑电路图:见图 6-3、6-4。图6-4用与非门实现异或功能图6-3用与非门实现或非功能 图6-4用与非门实现异或功能 PAGE PAGE 62VCC144B4A4Y3B3A131211109VCC144B4A4Y3B3A1312111093Y8111112345671A1B1Y2A2B2Y GND6-674LS32 芯片管脚

35、图电教学实验箱:内含5V 直流电源;连续脉冲源;逻辑电平开关;逻辑电平显示器;数码显示器;集成芯片 74LS00 和 74LS32 等。五、实验内容与步骤1、基本逻辑门电路的测试与非门的逻辑功能VDD 4A14134B4Y3A3B3Y12111098&12345671A1B1Y2A2B2YVDD 4A14134B4Y3A3B3Y12111098&12345671A1B1Y2A2B2Y6-574LS00 芯片管脚图6-1 AB Y 6-1 中。或门的逻辑功能74LS32 2 14 5V 正极,7 脚接电源地。6-2 A、B Y 6-1 中。表6-174LS00、74LS32 逻辑功能表输入输入7

36、4LS00 功能测试输出 Y输入74LS32 功能测试输出 YA0011B0101电压逻辑状态A0011B0101电压逻辑状态oB&F图 6-7 与非门动态6-7 接线,A CP 脉冲f=1HZ,B端输入 “1”或“0”信号, F示状态,记入表6-2 ,用双踪示波器观察A与F波形的相位关系记入表6-26-2 与非门动态功能的测试AAB灯显示状态A与F波形的相位关系A1 HZ0tFtA1 HZ1tFt3、用与非门实现如下功能6-3 接线,实现“或非”功能 Z A、B Z 6-36-4 接线,实现“异或”功能 Z B6-3 或非、异或功能测试输入或非功能测试输出 Z输入异或功能测试输出 ZAB电压

37、逻辑状态AB电压逻辑状态0000010110101111实验七 组合逻辑电路设计1、 掌握组合逻辑电路设计的一般步骤和方法。2、了解半加器、全加器的逻辑功能及三变量表决电路的逻辑功能。二、实验原理:1、组合逻辑电路设计一般步骤:逻辑关系分析,确定逻辑输入、输出量,并给予定义。根据逻辑关系列出真值表。化简或变换,得出最简逻辑表达式。选择能实现组合逻辑电路的器件。2、半加器其逻辑表达式为:S _B A A BC AB选用异或门74LS86和与非门74LS00元件,则半加器的逻辑图如图7-1所示。图7-1 半加器电路3、全加器根据逻辑状态表得逻辑函数表达式为:全加和Si A Bi Ci1进位(AB)

38、A Biiiiii全加器可以用异或门、与或非门、与非门来实现。逻辑电路图如图 7-2。图 7-2 全加器电路4、 三变量表决电路根据三变量表决的逻辑要求,可得逻辑状态表7.3。从逻辑状态表中得逻辑函数表达式为Y:Y BC AC AB_ ABC ABBC AC选用与非门元件,逻辑函数表达式可写为Y AB BC AC AB BC AC逻辑图如图7-3所示。图7-3三变量表决器图7-4 四位输入时电路5、设计奇偶校验电路1 1 11 07-4 选用异或门(74LS86)4位输入校验电路,逻辑函数表达式:Y ( A B ) C D Y 2 D三、预习思考题:1、 半加器、全加器有什么样的逻辑功能?2、

39、 根据实验原理,填写表7-17-4的理论值四、实验设备及器件:1、电教学实验箱2、 集成器件74LS20、74LS00、74LS02、74LS51、74LS86 等五、实验内容与步骤:1、半加器的逻辑功能选用74LS00和74LS86,按图7-1接线,并测试其逻辑功能, 将实验结果填入表7-1中。输 入理 论 输 出输 入理 论 输 出实 验 输 出ABS(和)C(进位)S(和)C(进位)0000111011102、全加器的逻辑功能选用74LS00和74LS86,按图7-2接线,并测试其逻辑功能,将实验结果填入表中。输 入理论输出实验输出 加 数 输 入理论输出实验输出 加 数 低位来的进位

40、和 向高位进位 和 向高位进ABCSCSCiii-1iiii+10000010100111001011101113、三变量表决电路的逻辑功能选用74LS00和74LS20,按图7-3接线,并测试其逻辑功能,将实验结果填入表7-3中。表7-3三变量表决电路逻辑状态表输 入理论输出Y实验输出YABC0001000101100011010111114、选用-异或门(74LS86)按图7-4连线,将测得值填入表7-4中。表 7-4 四输入奇偶校验电路ABCD理论输出 YY0000000100100011010001010110011110001001101010111100110111101111六、

41、实验报告要求:1、画出实验逻辑图, 列出实验数据表格, 填入实验结果。2、总结组合逻辑电路的设计方法。3、整理实验数据,并对实验结果进行分析。实验八译码、显示电路一、实验目的1、掌握中规模集成译码器的逻辑功能和使用方法2、熟悉数码管的使用二、实验原理译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。123123A0A1 A24568S2S3 S1 GNDVCCY0 Y1 Y2 Y3 Y4 Y5 Y6Y7161514131211109774LS1 38逻辑符号(又称二进制译码器2 线4 3 8 4 线16 n 2n 2n n 个输入变量的最小项。3 线8 74LS1

42、38 中 A2 、A1 、A0 为地址输入端, Y0Y7 为译码输出端,S1、S2 、S3 为使能端。当S S2 S3 时,译码器使能,1地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。1当S S2S3时,或 S1X,S2 S3 1 1。(),若在S1 输入端输入数据信息,S2S3 S1 数据信息的反S2S3 S2端数根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。2、数码显示译码器七段发光二极管(LED)数码管LED 数码管是目前最常用的数字显示器,图81(a)、(b)为共阴管和共阳管的电路,图

43、8-1(c)为两种不同出线形式的引出脚功能图。LED 09 (0.5 0.36 寸(黄、橙色)的颜色不同略有差别,通常约为22.5V,每个发光二极管的点亮510mALED BCD 码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。共阴连接(“1”电平驱动)共阳连接(“0”电平驱动)(c) 符号及引脚功能图 81LED数码管BCD 码七段译码驱动器此类译码器型号有74LS4(共阳74LS4(共阴CC451(共阴)本实验系采用CC4511 BCD LED 数码CC45118-2。其中: D 码输入g “1”有效,用来驱动共阴极LED 数码管。LT 测试

44、输入端, LT“0”时,译码输出全为“1”BI 时,译码输出全为“0”LE 锁定端,LE“1”时译码器处于锁定(保持)状态,译码输出保持在 LE0 时的数值,LE0 为正常译码。译码器还有拒伪码功能,当输入码超过1001 时,输出全为“0”,数码管熄灭。三、实验设备与器件:1、电教学实验箱内含:5V 直流电源;连续脉冲源;逻辑电平开关;逻辑电平显示器; 数码显示器;集成芯片 74LS138 和 CC4511。2、双踪示波器;四、实验内容1、CC4511译码器逻辑功能测试。CC4511的引脚排列见图 接电源+5V,VSS 接电源地。A、B、CD、LEBILT ag ag(R 试验箱上内部已接81

45、 LED 81 中。82CC4511 引脚排列输入表 81输出LEBILTDCBAabcdefg显示字形00101100000110001011001001100110110100011010101101100110111011100001110010111010011101101111000111101011111001111111112、74LS138 译码器逻辑功能测试VCC 接电源+5V,GND接电源地。使能端S1、S2、S3A2A1Y7 Y0 依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表82 逐项测试 74LS138 的逻辑功能。输入输出S输入输出S1S2 + S3

46、A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7100001000110010100111010010101101101011101374LS138 S1 端钟脉冲CP 83 74LS138 的的输出状态。表 83输输入输出S1S2 + S3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y700001Hz脉冲000100100011110011Hz脉冲1011110111114S (S2 S3 )端分别加1KHz 脉冲,用示波器观察和记录在地址端A 、1A A1分别取 000011 共计 4 种不同状态时Y3Y0 端的输出波形于表 8-4 中,注意输出波形与 CP 输入波形之间的相位关系。表 841SS

47、2 +S11K0Hz0AAA210001AAA21001S1AAA21010S1AAA210011S1脉0Y?Y?Y?Y?冲02S3SS+2S311AAA21000S2,3AAA210013AAA21010S2,3AAA210011S2,31KHz1脉1冲Y?Y?Y?1五、实验报告要求1、显示译码器与变量译码器的根本区别在哪里2、如果 LED 数码管是共阳极的,能用 CC4511 驱动么,为什么?374LS138 坐标纸上,标上对应的地址码。实验九 触发器及其应用一、实验目的1RSJK、D T 触发器的逻辑功能。2、掌握集成触发器的逻辑功能及使用方法。3、熟悉触发器之间相互转换的方法。二、实验

48、原理触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在时钟脉冲的作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。1、基本 RS 触发器91 RS RS 触发器具有置“0”“1”和“保持” 三种功能。通常称S 为置“1”端,因为S R 1)“1”; R 为置“0”端,因为R 0(S 1)时触发器被置“0”,当S R 1 时状态保S R 0 91 RS 触发器的功能表。基本 RS 触发器。也可以用两个“或非门”组成,此时为高电平触发有效。9-19-1图 9-1 基本RS 触发器2、JK 触发器1CP1K1J1S1

49、234_ QQ1615_VCC1RD14_2RD131211_ QQ1615_VCC1RD14_2RD1312112CP2K2J10_2SD92Q74LS112_D1Q5_ 1Q6_ 2Q7GND8_SDJ_CPKRD图6-24127KS1K列及逻辑符号JK Q n+1 JQ nK Q nJ KJK个以上输入端时,组成“与”的关系。Q 与Q 为两个互补输出端。通常把 0、Q 1 的状态定为触发器“0”Q1Q 0 定为“1”状态。JK 触发器常被用做,缓冲存储器,移位寄存器和计数器。111111111QnQnQnQn输入输出S D0R D1CPJKQn+1 1Q n+10100100111100

50、01Qn0Q n1111010输入输出S DR DCPDQ输入输出S DR DCPDQn+1Qn+1n+1(Qn)为现态;Qn+1(Q)为次态;为不定态。n+13、D 触发器在输入信号为单端的情况下,D 触发器用起来最为方便,其状态方程为:Qn+1DnCP 脉冲的上升沿,故又称为上D D 触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发D 74LS74D74LS175、六 D 74LS174 等。93 D 74LS74 93。_QQ14VCC13_2RD12112D 2CP10_2SD98_2Q 2Q74LS74_1RD1_1D21CP341SD1Q1Q GND567_SDD_C

51、PRD64辑符号表 9-30110100100111101100111QnQ n4、触发器之间的相互转换在集成触发器的产品中,每一种触发器都有自己固定的逻辑功能。但可以利用转换的方法获得具有其它功能的触发器。例如将 JK 触发器的J、k 两端连在一起,并认它为 T 端,就得到所需的 T 触发器。如图 94(a)所示,其状态方程为: Qn+1 T Qn T Qn。T 触发器的功能如表 94。T触发器(b)T触发图94 JK 触发器转换为T、T 触发器输入输出表 输入输出S DRDCPTQn+1011100110Qn111Q n由功能表可见,当 T0 时,时钟脉冲作用后,其状态保持不变;当T1 T

52、 T 置“64(b)所示,即得触发器。在触发器的CP CP 用于计数电路中。同样,若将D 触发器QD 端相连,便转换成T95 所示。JK 触发器也可转换为D 触发器,如图 9-6 所示。图9-5D触发器转成图9-5D触发器转成T触发器65 D T 触发器66 JK D图9-6JK 转成D四-2 输入正与非门四-2 输入正与非门74LS00VDD 4A14134B4Y3A3B3Y12111098&5V 直流电源;连续脉冲源;逻辑电平开关;逻辑电平显示器; 数码显示器;集成芯片 74LS00、74LS112,和 74LS742、双踪示波器;五、实验内容1、测试基本 RS 触发器的逻辑功能91,用两

53、个与非门图)组成基本RS 触发器,输入端R 、S 辑开关的输出插口,输出端 Q、Q 接逻辑电平&显示输入插口,按表 97 要求测试,记录之。12345671A1B1Y2A2B2YGND表 9-7RRSQQ011011002、测试双 JK 触发器 74LS112 逻辑功能测试RD 和SD的复位、置位功能D任取一只JK 触发器,R、SJK端接逻辑开关输出插口,CP 端DSJDD接单次脉冲源Q端接至逻辑电平显示输入插口要求改变R, (、SJDDDDDKCP 处于任意状态),并在RS1)或SR1)作用间任意改变J、K 及CP的状态,观察Q、Q 状态。并记录于表9-8中。DDD输入输出Q输入输出Qn+1

54、Q n+1S DR DCPJK011000JK 触发器的逻辑功能DS、R置“1”,按表99的要求改变JCP 端状态,观察QQ状态变化,观察触发器状态更新是否发生在 CP 脉冲的下降沿(即 CP 由10),记录之。D表 9-9输入输入输出JKCPQn+1Qn=0010010010110011010011110011110011110JK JK端连在一起,构成T触发器。在CP Q CP 1kHz CP、Q、Q端波形,注意相位关系,描绘之。3、测试双 D 触发器 74LS74 的逻辑功能D测试R、S的复位、置位功能D测试方法同实验内容 2 之(1),记录表 9-10输入输出S输入输出SDRCPDQn

55、+1Qn+1D011000D触发器的逻辑功能RSD、置“1”,按照表9-11 要求进行测试,并观察触发器状态更RSD新是否发生在 CP 脉冲的上升沿(即由 01),记录之。D 触发器的QD T2 之(3),记录之。DCP10001Qn+1Qn=0Qn=1101014、双相时钟脉冲电路JK 97 CP CPA CPB,其频率相同、相位不同。AB分析电路工作原理,并按图 97 接线,用双踪示波器同时观察 CP、ABBCPA;CP、CPB、CP波形,并描绘之。&1Q&1QJAQ&1_ QQBKCPCPB图 图 9-7 双向时钟脉冲电路图6-9 双向时钟脉冲电路六、实验报告要求分析双相时钟脉冲电路工作

56、原理,描绘CPCP CP CPA波形,并说明与双踪示波器观察到的是否一致?BAB一、实验目的实验十 计数器及应用1、熟悉中规模集成计数器的逻辑功能及各控制端作用。2、掌握用中规模集成计数器构成任意进制计数器的方法。二、实验设备与器件1、电教学实验箱;2、74LS192 芯片 1 只,74LS10 芯片只,74LS20 芯片 1 只。三、实验原理常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源TTL CMOS 些器件。74LS192,74LS192101 所示。LD 为置数端;CPU 为加计数端;CPD 为减计数端;

57、CO 为非同步BOD0、D1、D2、D3 为计数器输入端; Q0、Q1、Q2、为数据输出端;CR 8 管脚为电源+5V,16 管脚为电源地。74LS192 的功能如表 101,说明如下:CR CR 其它功能。CR LDD D 、01D D置入计数器。23CR LD 为高电平时,执行计数功能。执行加计数时,减计CPD CPU 8421 十进制加法计数。执行减计数时,加计数端 CPU CPD 102 8421 码十进制加、减计数器的状态转换表。输入输出表 输入输出CRLDCPUCPDD3D2D1D0Q3Q2Q1Q01000000dcbadcba011加计数011减计数表 102输入脉冲数Q3输入脉

58、冲数Q3Q输出2Q1Q001234567890000000011000011110000110011000101010101减法计数1、用清零法获得六进制的加计数器NM(74LS192611119D0D 1DQ2D0Q 1Q326732Q35411CPUCPDLDCRCOBO1213&74LS081HZ 11图10-16进制加法计数器原理:当计数器计数至四位二进制数“0110”时,其两个为“1”的端子74LS08,1,74LS192CR074LS19252、用置数法获得六进制的加计数器0,直74LS192 的置数端LD DO-D300053、用置数法获得五进制的减计数器1111101119D0

59、D 1DQ0Q 1Q3267112D32Q1315411CPUCPDLDCRCOBO12130&1HZ74LS20图10-2用置数法实现五进制减法计数器74LS192DO-3“011001074LS192Q14Q0、Q2、Q3 74LS20四-2输入正与非门74LS00474LS202 输入正与门74LS08&74LS200,74LS192四-2输入正与非门74LS00474LS202 输入正与门74LS08&四、预习与思考题1、深刻领会理解 74LS192 的用法2、用清零法采用十进制同步加减计数器74LS192脚排列见下图)N(6N10)10-3374LS19274LS0074LS20(其

60、管脚排列见下图)实现进制加法计数器,画出逻辑电路见图10-4410-2,用置数法实现七进制减法计数器(74LS2010-5VDD 4A14134B124Y113A103B93Y8VCC142D132C12NC112B102A92Y8VCC144B134A124Y113B103A93Y8&12345671234&5671&2345&671A1B1Y2A2B2YGND1A1BNC1C1D1YGND1A1B1Y2A2B2YGND图10-3见图10-4见图10-5五、实验设备与器件1、电教学实验箱内含:5V 直流电源;连续脉冲源;逻辑电平开关;逻辑电平显示器; 数码显示器;集成芯片 74LS192、7

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论