版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、基于FPGA的简要通信模块(m kui)设计数字(shz)系统设计实例三怀化学院物理与信息工程系指导老师:杨会平共十九页设计流程(lichng)框图怀化学院(xuyun)物理与信息工程系共十九页1-1 设计(shj)背景及意义 广泛应用于生活、军事、航空航天等各个领域(密码锁、数据通信、雷达、遥感、航天控制等)。中小规模的数字集成电路构成的传统序列检测器电路往往存在电路设计复杂、体积大、抗干扰能力差以及设计困难(kn nn)、设计周期长等缺点 。怀化学院物理与信息工程系共十九页1-2 设计(shj)背景及意义 可编程逻辑器件(FPGA、CPLD)具有集成度高、工作速度快、可靠性高、调试方便等特
2、点,而且设计电路简单、体积小。利用VHDL硬件设计语言和模块化思想实现的序列检测器,其通用性和广泛性显著提高,可以节省大量的人力、物力、有效的缩短设计时间。目前,在工程应用中已经(y jing)取得了显著的效果。 化学院物理与信息工怀程系共十九页数字序列通信(tng xn)应用前景1、物联网(lin wn)的身份验证系统2、智能门禁系统3、通信基站的应答机制基于硬件系统4、物理签名系统怀化学院物理与信息工程系共十九页 序列(xli)检测器设计序列(xli)检测器状态图S4S5S3S2S1S0任意序列检测start101010110101right怀化学院物理与信息工程系共十九页2 -1序列(x
3、li)发生器VHDL程序 architecture one of fsq is signal Q:std_logic_vector(0 to 2 ); begin process(cp) begin if (cpevent and cp =1) then if Q=111 then Q=000; else Q=Q+1; end if; end if; end process; with Q select y=D(0) when 000, D(1) when 001, D(2) when 010, D(3) when 011, D(4) when 100, D(5) when 101, D(6)
4、 when 110, D(7) when 111, null when others; end one; 共十九页2-2 序列(xli)检测器VHDL程序 architecture behv of Comucation_test is signal Q: integer range 0 to 8;beginprocess(CLK,CLR,D1) -同步复位(f wi)进程 begin if CLR=1 then Q if DIN = D1(7) then Q=1;else Q if DIN = D1(6) then Q=2;else Q if DIN = D1(5) then Q=3;else
5、 Q if DIN = D1(4) then Q=4;else Q if DIN = D1(3) then Q=5;else Q if DIN = D1(2) then Q=6;else Q if DIN = D1(1) then Q=7;else Q if DIN = D1(0) then Q=8;else Q Q=0; end case; end if; end process; process(Q) begin if Q=8 then A=0000; else A=1111; end if;end process;end behv;共十九页模块通信综合(zngh)VHDL程序 libra
6、ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity zonghe is port( d_1 ,d_2: in std_logic_vector(7 downto 0); clk , clr : in std_logic; a: out std_logic_vector(3 downto 0);end zonghe ;architecture one of zonghe is component fsq isport(D:in std_logic_vector(0 to 7); cp:in std_
7、logic; Y:out std_logic);end component fsq;component test1 is port (DIN,CLR,CLK: in std_logic; D1: in std_logic_vector(7 downto 0); A:out std_logic_vector(3 downto 0); end component test1 ;signal y :std_logic;begin u1:fsq port map(D1,clk,y);u2:test1 port map(y,clr,clk,D2,a);end one;共十九页3-1 序列发生器设计(sh
8、j)RLT视图共十九页4 数据通信模块(m kui)RTL示图共十九页2-1 序列(xli)检测器仿真图共十九页2-2 序列(xli)检测器仿真图共十九页4 设计(shj)问题阐述1、本设计实现一个什么样的功能? 序列产生器和序列发生器的通信电路设计2、数字编码数据如何(rh)串行输出,串行检测? 两个模块的时钟做到同步,设计统一的跳变触发3、怀化学院物理与信息工程系共十九页Thank You!共十九页物联网(lin wn)的身份验证系统指纹识别技术(jsh)人脸识别技术back共十九页智能(zh nn)门禁系统back共十九页智能(zh nn)基站应答back共十九页内容摘要基于FPGA的简要通信模块设计。architecture one of fsq is。if (cpevent and cp =1) then。architecture behv of Comucation_test is。signal Q: integer range 0 to 8
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 商业演出聘用舞蹈演员合同
- 高速公路PE排水管道协议
- 停车场充电桩建设与运营合同
- 农业设施工程框架合同范本
- 铝单板展览馆装饰施工合同
- 建筑工程公司员工招聘合同
- 租赁吊篮合同书模板
- 大型商务中心广告屏租赁合同
- 体育场馆钢筋工施工合同范文
- 延期劳动合同协议三篇
- GB/T 2007.3-1987散装矿产品取样、制样通则评定品质波动试验方法
- GB/T 14456.3-2016绿茶第3部分:中小叶种绿茶
- 《合理利用网络》设计 省赛一等奖
- GA 1800.5-2021电力系统治安反恐防范要求第5部分:太阳能发电企业
- 挡土墙基本知识课件
- 2011年考研英语一试卷真题(后附答案详解)
- 电站锅炉炉膛设计解读
- 接地及防雷保护安全检查表
- 2022环保检测作业指导书(HJ1237-2021机动车排放定期检验规范)
- 相变材料与相变储能技术课件
- 小学体育与健康人教三年级全一册第三部分体育运动技能《快速跑》教案
评论
0/150
提交评论