VHDL——出租车计价器教学提纲_第1页
VHDL——出租车计价器教学提纲_第2页
VHDL——出租车计价器教学提纲_第3页
VHDL——出租车计价器教学提纲_第4页
VHDL——出租车计价器教学提纲_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、Good is good, but better carries it.精益求精,善益求善。VHDL出租车计价器-该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUS软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。关键词:出租车计费器;计数器;VHDL语言;MAX+PLUS;一、实验任务及要求1.能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按2元/公里,当计费器计费达到或超过一定收费(如20元)时,每公里加收50%的车费,车停止不计费。2.实现预置

2、功能:能预置起步费、每公里收费、车行加费里程。3.实现模拟功能:能模拟汽车启动、停止、暂停、车速等状态。4.设计动态扫描电路:将车费显示出来,有两位小数。5.用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。6.各计数器的计数状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。7.完成电路全部设计后,通过系统实验箱下载验证设计的正确性。二、实验原理系统顶层框图:车速控制模块计费动态显示里程动态显示车速选择起/停开关基本速率Reset扫描时钟显示输出显示输出计费器按里程收费,每100米开始一次计费。各模块功能如下:(1)车速控制模块当起停键为启动状态时(高

3、电平),模块根据车速选择和基本车速发出响应频率的脉冲驱动计费器和里程显示模块进行计数;当处于停止状态时暂停发出脉冲,此时计费器和里程显示模块相应的停止计数。(2)里程动态显示模块其包括计数车速控制模块发出的脉冲以及将计数显示动态显示出来,每来一个脉冲里程值加0.1(控制器每发一个脉冲代表运行了0.1公里)。(3)计费动态显示模块其初值为10元,当里程超过3公里后才接受计数车速控制模块发出的脉冲的驱动,并且计数显示动态显示出来,每来一个脉冲(代表运行了0.5公里)其数值加1元,当收费超过20时数值加1.5元。三、出租车计费系统的实现3.1系统的总体模块图:3.2系统各功能模块的实现:(1)模块M

4、S的实现模块MS,输入端口CK0、CK1为两个不同的时钟信号,来模拟汽车的加速和匀速,JS加速按键。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYMSISPORT(CK0:INSTD_LOGIC;CK1:INSTD_LOGIC;JS:INSTD_LOGIC;CLK_OUT:OUTSTD_LOGIC);ENDMS;ARCHITECTUREONEOFMSISBEGINPROCESS(JS,CK0,CK1)BEGINIFJS=0THENCLK_OUT=CK0;ELSECLK_OUT0);ELSIFCLKEVENTANDCLK=1THENIFSTO=1THE

5、NSTATE:=00;CQI:=CQI;ELSIFENABLE=1THENCQI:=CQI+1;IFCQI30ANDCQI=80THENSTATE:=10;ELSESTATE:=11;ENDIF;ENDIF;ENDIF;ST=STATE;ENDPROCESS;ENDONE;(3)模块PULSE的实现该模块实现将时钟信号5分频功能。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYPULSEISPORT(CLK0:INSTD_LOGIC;FOUT:OUTSTD_LOGIC);ENDPULSE;A

6、RCHITECTUREONEOFPULSEISBEGINPROCESS(CLK0)VARIABLECNT:STD_LOGIC_VECTOR(2DOWNTO0);VARIABLEFULL:STD_LOGIC;BEGINIFCLK0EVENTANDCLK0=1THENIFCNT=100THENCNT:=000;FULL:=1;ELSECNT:=CNT+1;FULL:=0;ENDIF;ENDIF;FOUTQ1:=Q1;Q2:=Q2;Q3:=Q3;WHEN01=Q1:=0000;Q2:=0000;Q3:=0001;WHEN10=IFQ21001THENQ2:=Q2+1;ELSEQ2:=0000;IFQ

7、3IFQ10101THENQ1:=Q1+5;ELSEQ1:=0000;ENDIF;IFQ1=0101THENIFQ21001THENQ2:=Q2+1;ELSEQ2:=0000;IFQ31001THENQ3:=Q3+1;ENDIF;ENDIF;ELSEIFQ21001THENQ2:=Q2+2;ELSEQ2:=0001;IFQ3NULL;ENDCASE;ENDIF;C1=Q1;C2=Q2;C3=Q3;ENDPROCESS;ENDONE;(5)模块SCAN_LED的实现该模块实现显示车费功能。BT为选位信号,SG译码信号。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;

8、USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSCAN_LEDISPORT(DI1:INSTD_LOGIC_VECTOR(3DOWNTO0);DI2:INSTD_LOGIC_VECTOR(3DOWNTO0);DI3:INSTD_LOGIC_VECTOR(3DOWNTO0);CLK2:INSTD_LOGIC;SG:OUTSTD_LOGIC_VECTOR(6DOWNTO0);BT:OUTSTD_LOGIC_VECTOR(2DOWNTO0);ENDSCAN_LED;ARCHITECTUREONEOFSCAN_LEDISSIGNALCNT4:STD_LOGIC_VECTOR

9、(1DOWNTO0);SIGNALA:STD_LOGIC_VECTOR(3DOWNTO0);BEGINP1:PROCESS(CLK2)VARIABLESQ:STD_LOGIC_VECTOR(1DOWNTO0);BEGINIFCLK2EVENTANDCLK2=1THENIFSQ=10THENSQ:=00;ELSESQ:=SQ+1;ENDIF;ENDIF;CNT4BT=001;ABT=010;ABT=100;ABT=100;ANULL;ENDCASE;ENDPROCESSP2;P3:PROCESS(A)BEGINCASEAISWHEN0000=SGSGSGSGSGSGSGSGSGSGNULL;EN

10、DCASE;ENDPROCESSP3;ENDONE;(6)模块TAXI的实现该模块为最终的顶层模块。LIBRARYIEEE;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYTAXIISPORT(T_CLK0:INSTD_LOGIC;T_CLK1:INSTD_LOGIC;T_CLK2:INSTD_LOGIC;T_JS:INSTD_LOGIC;T_ENABLE:INSTD_LOGIC;T_CLR:INSTD_LOGIC;T_STO:INSTD_LOGIC;T_BT:OUTSTD_LOGIC_VECTOR(2DOWNTO0);T_SG:OUTSTD_LOGI

11、C_VECTOR(6DOWNTO0);ENDTAXI;ARCHITECTURESTRUCOFTAXIISCOMPONENTMSPORT(CK0:INSTD_LOGIC;CK1:INSTD_LOGIC;JS:INSTD_LOGIC;CLK_OUT:OUTSTD_LOGIC);ENDCOMPONENT;COMPONENTSOUTPORT(CLK:INSTD_LOGIC;ENABLE:INSTD_LOGIC;STO:INSTD_LOGIC;CLR:INSTD_LOGIC;ST:OUTSTD_LOGIC_VECTOR(1DOWNTO0);ENDCOMPONENT;COMPONENTPULSEPORT(

12、CLK0:INSTD_LOGIC;FOUT:OUTSTD_LOGIC);ENDCOMPONENT;COMPONENTCOUNTERPORT(CLK_DIV:INSTD_LOGIC;CLR1:INSTD_LOGIC;SI:INSTD_LOGIC_VECTOR(1DOWNTO0);C1:OUTSTD_LOGIC_VECTOR(3DOWNTO0);C2:OUTSTD_LOGIC_VECTOR(3DOWNTO0);C3:OUTSTD_LOGIC_VECTOR(3DOWNTO0);ENDCOMPONENT;COMPONENTSCAN_LEDPORT(DI1:INSTD_LOGIC_VECTOR(3DOW

13、NTO0);DI2:INSTD_LOGIC_VECTOR(3DOWNTO0);DI3:INSTD_LOGIC_VECTOR(3DOWNTO0);CLK2:INSTD_LOGIC;SG:OUTSTD_LOGIC_VECTOR(6DOWNTO0);BT:OUTSTD_LOGIC_VECTOR(2DOWNTO0);ENDCOMPONENT;SIGNALL_CLK:STD_LOGIC;SIGNALL_FOUT:STD_LOGIC;SIGNALL_ST:STD_LOGIC_VECTOR(1DOWNTO0);SIGNALL_C1:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALL_C2:

14、STD_LOGIC_VECTOR(3DOWNTO0);SIGNALL_C3:STD_LOGIC_VECTOR(3DOWNTO0);BEGINU0:MSPORTMAP(CK0=T_CLK0,CK1=T_CLK1,JS=T_JS,CLK_OUT=L_CLK);U1:SOUTPORTMAP(CLK=L_CLK,ENABLE=T_ENABLE,CLR=T_CLR,STO=T_STO,ST=L_ST);U2:PULSEPORTMAP(CLK0=L_CLK,FOUT=L_FOUT);U3:COUNTERPORTMAP(CLR1=T_CLR,SI=L_ST,CLK_DIV=L_FOUT,C3=L_C3,C2

15、=L_C2,C1=L_C1);U4:SCAN_LEDPORTMAP(CLK2=T_CLK2,DI3=L_C3,DI2=L_C2,DI1=L_C1,BT=T_BT,SG=T_SG);ENDSTRUC;四、系统仿真(1)模块MS的结果验证(2)模块SOUT的结果验证(3)模块PULSE的结果验证(4)模块COUNTER的结果验证(5)模块SCAN_LED的结果验证(6)模块TAXI的结果验证五、结论出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。各模块完成后,在将它们组合成完整的出租车系统,在设计过程中还需要改进的是控制系统的纠错功能。出租车计费系统的设计中体现了覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的价值。心得体会:这次课程设计中,我们主要是运用VHDL语言设计了

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论