使用EDA软件对四位二进制计数器进行仿真2_第1页
使用EDA软件对四位二进制计数器进行仿真2_第2页
使用EDA软件对四位二进制计数器进行仿真2_第3页
使用EDA软件对四位二进制计数器进行仿真2_第4页
使用EDA软件对四位二进制计数器进行仿真2_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、使用EDA软件对四位二进制计数器进行仿真吴璋 (安庆师范学院物理与电气工程学院安徽安庆246011)指导老师:郑江云摘要:本文介绍了 VHDL语言及其基本特点,讨论了 VHDL语言在EDA中的诸多优点,并以四 位二进制计数器电路的设计为例,应用Max + Plus 11工具软件和EDA实验箱实现了计数 器的功能,结果与设计指标之间满足一致性要求。关键字:Max + Plus 11工具软件,电子设计自动化,计数器0引言目前,随着电子技术的飞速发展,数字系统规模越来越大,传统的电路设计已难以适应复 杂电子系统的设计要求。从而使得电子设计自动化(EDA)技术迅速发展,成为硬件电子电路 设计领域中的主

2、要设计手段。而VHDL语言则是EDA的关键技术之一,它采用了自顶向下的设 计方法,即在整个设计流程中各设计环节逐步求精的过程。通俗地讲,就是将设计系统自上向 下地分解成各个模块,对设计的每个独立模块可以指派不同的工作小组来做,之后将做好的 各个模块作为底层文件来构成顶层文件,从而完成系统硬件的整体设计。计数器是数字电路 中一种极其普通的器件,其应用范围十分广泛,可以说任何自动控制装置的核心部件都是由 一个或几个计数器在起作用。一个系统的性能好坏,在很大程度上可以从计数器设计的科学 程度来体现。在这里,笔者就图形输入法和VHDL语言输入法对四位二进制计数器进行设计 和仿真。1图形输入法的设计仿真

3、思路具体步骤为:第一步:进入MAX+PLUSII运行环境第二步:建立项目选择File/Project/Name显示对话框将目录directories选择为Max2Work,,输入project Name为lizi/first,然后按OK按钮,出现是否建立子目录lizi/first的询问,再输入OK。第三步:在file中选New,出现对话框,然后选择第一项Graphic Editor file,选OK, 出现标题为 Graphic Editor Untitled 的窗口。第四步:在窗口中用鼠标双击一下,出现一个黑点,窗口左侧的工具为画图工具。然后 双击黑点出现窗口。在对话框中选择Maxplus2

4、/maxlib/mf子目录,在此子目录中有所需元件 74161,Maxplus2/maxlib/prim子目录下有基本逻辑功能门和一些基本图形元素。点击添加绘 成如图1的原理图。以四位二进制计数器为例.在这个设计中采用了一片74161,一个与 非门.在该电路中,使用了一个使能信号和一个清零信号.在满足使能信号高电平有效,清 零信号为高电平(低电平有效)的情况下,计数器对输入的方波信号进行计数.选择74161 的输出端Q、Q、Q、Q连到四输入与非门的输入端。A B C D第五步:选File/Save As将所画图形存入文件first.dgf。第六步:检查与更改错误,选File/Project/S

5、ave & Check菜单,此菜单的功能是检查电路是 否有错误,若有错误,就会出现提示信息对话框,按照所提示信息就可以排除错误。第七步:编译形成可下载的配置文件,选File/Project/Save & Comlile菜单,显示窗口。图1第八步:选Max+plus2Waveform Editor菜单,进入波形编辑窗口。第九步:选择NodeEnter Nodes from SNF菜单,然后选择“List”按钮,在“AvailableNodes”滚动条内显示出所设计的原理图的节点,使用“=”按钮将所选择的输入和输出节 点选择到“Selected Nodes & Groups”滚动条。选择OK退出,

6、随后窗口显。接下来设置 各输入信号的具体参数如图2所示。存第十步:选择Max+plus2/Simulator菜单,呈现窗口。按下“Start”按钮开始进行仿真, 仿真结果窗口见图3.fist.scf Vavefora Editorr- 一-; -工-一一 石:Ref: |0.Clns| 初_全1 Time: |4.6nsInterval: |4.6ns|Name:区河眼:25.0ns50.0ns75.0ns100.0ns125.0ns150.0ns1iiii PT1 一CLRN1N-CLK043 Q30Q2043 Q10Q00J _1_1_1_1_1_1_1图32 VHDL语言的设计仿真思路2

7、.1 VHDL语言的优点:(1)具有更强的行为描述能力,是系统设计领域最佳的硬件描述语言。(2)具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功 能可行性,随时可对设计进行仿真模拟。(3)VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计 的再利用功能。该功能能满足市场大规模系统高效、高速的需要,可替代多人甚至多个代发 组共同工作。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并 自动地将VHDL描述设计转变成门级网表。(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件结构,也不必管最终设计 实现

8、的目标器件是什么,而进行独立的设计。2.2计数器的VHDL语言设计过程:(1)打开新文件:选取窗口菜单FileNew,出现对话框,选Text Editor file选项, 单击OK按钮,进入文本编辑画面。(2)保存:选取窗口菜单FileSave,出现对话框,键入文件名counter.VHD,.单击 OK按钮。(3)指定项目名称与文件名相同:选取窗口菜单FileProject Name,键入文件名counter,单击OK按钮指定设计器件:选取窗口菜单AssignDevice,出现对话框,选择ACEX1K系列的 EP1K30TC144-1。输入VHDL源程序:LIBRARY ieee;USE ie

9、ee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;USE ieee.std_logic_arith.all;ENTITY counter ISPORT( DATAIN:IN integer range 0 to 15;CLK :IN std_logic;CLR :IN std_logic;LOAD:IN std_logic;DATAOUT :OUT integer range 0 to 15;CP:OUT std_logic);END counter;ARCHITECTURE behav OF counter ISSIGNAL num:

10、integer range 0 to 15;SIGNAL CP0:std_logic;BEGINPROCESS(CLK,CLR)BEGINIF ( CLR = 1 ) THENnum = 0;ELSEIF ( CLKevent AND CLK = 1) THENIF ( LOAD = 1) THENnum = DATAIN;ELSEnum = num + 1;END IF;IF( num = 15 )THENCP0 = 1;ELSECP0 = 0;END IF;END IF;END IF;END PROCESS;DATAOUT = num;CP = CP0;END;保存并检查:选取窗口菜单Fi

11、leProjectSave&Check,即可针对电路文件保存并 进查。除错:针对Massage-Compiler窗口所提供的信息修改,修改直到没有错误为止。保存并编译:选取窗口菜单File ProjectSave &Compile,即可进行编译,产生 countbasic .sof 烧写文件。创建电路符号:选取窗口菜单FileCreate Default Symbol,可以产生countbasic 文件一代表现在所设计的电路符号。时间分析:选取窗口菜单UtilitiesAnalyze Timing,再选取窗口菜单Analysis Delay Matrix,产生时间分析结果。2.3软件仿真:进入

12、波形编辑窗口:选取窗口菜单MAX+plus IIWaveform editor,进入仿真波形编 器。引入输入输出脚:选取窗口菜单NodeEnter Nodes from SNF,出现对话框,选list 按钮选择 Available Nodes中的输入与输出,按“=”键将 CLK,LOAD,CLR,CP,DATAIN,DATAOUT,num移至右边,单击OK按钮进行图形编辑。设定时钟的周期:选取窗口菜单OptionsGride Size,出现对话框,设定Gride Size, 如设定为为5ns,单击OK按钮。设定初始值,并保存。仿真:选取窗口菜单 MAX+plus IISimulator,出现

13、Timing Simulation对话框,但 Start按钮,出现Simulator对话框,单击“确定”按钮。观察输入结果的关系正确性,仿真结果如图4。波形分析:从仿真波形可以看出,每输入两个波,输出数据DATAOUT就累加一次,相 当于对时钟进行计数,符合计数器的逻辑功能。所以该VHDL设计能实现计数器的预期的有 关逻辑功能。图43两种设计方法比较图形输入法的设计,对于简单的、模值比较小的计数器来说,比较容易实现,因为逐个 设计所使用的元件比较少,连线也比较简单。如果所要求的模值比较大,则使设计变得复杂 化,一旦出现错误也不容易排除;同时,图形输入法要求设计者有比较强的硬件知识基础, 是一般

14、的软件工程师难以胜任的。使用VHDL语言设计方法,只要深入学习硬件描述语言, 借助可编程逻辑器件,普通的软件工程师也可以完成硬件工程师的工作。4结束语从完成任务的角度来看,两种设计方法都能达到计数器设计功能.从实现的难易程度来 看,图形输入法对于模值小的计数器容易实现,VHDL语言设计法对于模值比较大的计数器 设计容易实现。从错误排查来看,VHDL语言设计法更容易发现和排除错误.从硬件的完成 来看,图形输入法的要求比较高,只有设计中所使用的每一个器件的内部结构和逻辑功能都 非常完美才能实现,而VHDL语言对硬件没有具体要求。综上所述,在计数器设计中,VHDL 语言设计方法是一种可以广泛推广的设

15、计方法。参考文献赵鑫,蒋亮.VHDL与数字电路设计M.北京:机械工业出版社,2005:138.延明,张亦华.数字电路EDA技术M.北京:北京邮电大学出版社,2006: 78.郭兵.电子设计自动化技术及应用M .武汉:机械工业出版社,2003.朱运航.ED A技术基础M.武汉:华中科技大学出版社,2004.顾斌,赵明忠.数字电路ED A设计M.西安:西安电子科技大学出版社,2004.康华光.电子技术基础数字部分(第四版)M.北京:高等教育出版社,2000.7 宋万杰,罗丰,吴顺君.CPLD技术及其应用M.西安:西安电子科技大学出版社,1999.8 潘松,王国栋.VHDL实用教程M.成都:电子科技

16、大学出版社,2001.Simulation of Four Binary Counter with EDA SoftwareWuZhangInstructs teacher:ZhengJiangYun(School of Physics and Electrical Engineering, Anqing Normal College, Anqing 246011) Abstract: The language of VHDL and its basis characteristic are introduced in this paper. Furthermore, the design of a 4-bit coun

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论