计算机组成原理补充白中英PPT课件_第1页
计算机组成原理补充白中英PPT课件_第2页
计算机组成原理补充白中英PPT课件_第3页
计算机组成原理补充白中英PPT课件_第4页
计算机组成原理补充白中英PPT课件_第5页
已阅读5页,还剩128页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1第一章第一章 计算机系统概论计算机系统概论第二章第二章 运算方法和运算器(补:数字逻辑)运算方法和运算器(补:数字逻辑)第三章第三章 存储系统存储系统第四章第四章 指令系统指令系统 第五章第五章 中央处理器中央处理器 第六章第六章 总线系统总线系统 第七章第七章 外围设备外围设备 第八章第八章 输入输出系统输入输出系统第九章第九章 并行组织并行组织目录第1页/共133页2 参考书 王尔乾王尔乾,数字逻辑与数字集成电路,数字逻辑与数字集成电路第第2 2版版, , 清华大学出版社,清华大学出版社,20022002第2页/共133页3&第一部分第一部分 逻辑代数逻辑代数&第二部分第

2、二部分 逻辑门电路逻辑门电路&第三部分第三部分 组合逻辑电路组合逻辑电路&第四部分第四部分 时序逻辑电路时序逻辑电路数字逻辑目录第3页/共133页4“数字逻辑”是计算机硬件技术系列的基础计算机组成原理数字逻辑计算机系统的软硬件功能分配计算机系统的逻辑实现计算机组成的物理实现第4页/共133页5数字与逻辑 (Digital & Logic)逻辑:研究思维的规律性;关于思维形式及其规律的科学;研究概念、判断和推理以及相互联系的规律、规则,以帮助人们正确地思维和认识客观真理。学习工作时时处处离不开“逻辑”:讲话要有逻辑性、写论文逻辑层次要清晰;逻辑推理能力、逻辑判断能力数理逻

3、辑:研究推理、计算等逻辑问题,又称符号逻辑,是离散数学的重要内容,是计算机科学的基础。数字逻辑:用二进制为基础的数字化技术解决逻辑问题。第5页/共133页6数字与逻辑 (Digital & Logic) 逻辑代数:应用代数方法研究逻辑问题,又称布尔代数,开关代数(还有开关理论,开关电路等),是逻辑化简的主要工具。 数字逻辑电路的设计、分析,要借助于逻辑代数这一数学工具。逻辑代数中二值运算的公式、运算及定律要应用到数字逻辑电路。 实现逻辑功能可用的数字电路:1、数字集成电路2、可编程逻辑器件(PLD)第6页/共133页7数字与模拟 (Digital & Analog)(离散与连续

4、) digit原意泛指“数目的文字”。在计算机领域,digital与其它词一起使用,主要用于区别“模拟”,指将连续变化的模拟量用二进制数表达和处理。 现实世界中存在模拟与数字两大系统,电子数字计算机是最典型的数字系统。 模拟量经采样、量化可转换为数字量。数字量更便于加工、处理、传输、存储等,可靠,抗干扰能力强。 数字集成电路是实现数字量处理和运算的功能单元。第7页/共133页8+V-V电压p2p时间+V-V电压p2p时间+V-V电压p2p时间(b)离散表示第8页/共133页9数字逻辑领域的前沿技术多值逻辑模糊逻辑计算机辅助逻辑设计集成电路设计自动化可编程逻辑设计数字系统与模拟系统的混合设计数字

5、电路的故障诊断与可靠性,等等第9页/共133页101.逻辑代数1.1 数字电路的基础知识1.2 基本逻辑关系1.3 逻辑代数及运算规则 1.4 逻辑函数的表示法1.5 逻辑函数的化简第10页/共133页(11)1.1 数字电路的基础知识数字信号和模拟信号电子电路中的信号模拟信号数字信号时间连续的信号时间和幅度都是离散的例:正弦波信号、锯齿波信号等。例:产品数量的统计、数字表盘的读数、数字电路信号等。第11页/共133页(12)模拟信号tV(t)tV(t)数字信号高电平低电平上跳沿下跳沿第12页/共133页(13)模拟电路主要研究:输入、输出信号间的大小、相位、失真等方面的关系。主要采用电路分析

6、方法,动态性能用微变等效电路分析。在模拟电路中,晶体管一般工作在线性放大区;在数字电路中,三极管工作在开关状态,即工作在饱和区和截止区。 数字电路主要研究:电路输出、输入间的逻辑关系。主要的工具是逻辑代数,电路的功能用真值表、逻辑表达式及波形图表示。模拟电路与数字电路比较1.电路的特点2.研究的内容第13页/共133页(14)模拟电路研究的问题基本电路元件:基本模拟电路:晶体三极管场效应管集成电路运算放大器 信号放大及运算 (信号放大、功率放大) 信号处理(采样保持、电压比较、有源滤波) 信号发生(正弦波发生器、三角波发生器、)第14页/共133页(15)数字电路研究的问题基本电路元件基本数字

7、电路 逻辑门电路 触发器 组合逻辑电路 时序电路(寄存器、计数器、脉冲发生器、 脉冲整形电路) A/D转换器、D/A转换器第15页/共133页(16)1.2 基本逻辑关系一、“与”逻辑与逻辑:决定事件发生的各条件中,所有条件都具备,事件才会发生(成立)规定: 开关合为逻辑“1” 开关断为逻辑“0” 灯亮为逻辑“1” 灯灭为逻辑“0” EFAB逻辑:指事物的前因和后果所遵循的规律逻辑状态:逻辑“真”为“”,逻辑“假”为“”基本逻辑关系:与 ( and ) 或 (or ) 非 ( not )第16页/共133页(17)&ABF逻辑符号:逻辑式:FA B逻辑乘法逻辑与真值表特点: 任0 则0

8、, 全1则1与逻辑运算规则:0 0=0 0 1=01 0=0 1 1=1EFAB真值表AFB000100010111第17页/共133页(18)二、 “或”逻辑AEFB或逻辑:决定事件发生的各条件中,有一个或一个以上的条件具备,事件就会发生(成立)规定: 开关合为逻辑“1” 开关断为逻辑“0” 灯亮为逻辑“1” 灯灭为逻辑“0” 第18页/共133页(19)1ABF逻辑符号:逻辑式:FAB逻辑加法逻辑或真值表特点: 任1 则1, 全0则0。或逻辑运算规则:0+0=0 0+1=11+0=1 1+1=1AEFB真值表AFB000101011111第19页/共133页(20)三、 “非”逻辑“非”逻

9、辑:决定事件发生的条件只有一个,条件不具备时事件发生(成立),条件具备时事件不发生。规定: 开关合为逻辑“1” 开关断为逻辑“0” 灯亮为逻辑“1” 灯灭为逻辑“0” AEFR第20页/共133页(21)逻辑符号:逻辑非逻辑反AF0110真值表AEFR真值表特点: 1则0,0则1。AF 逻辑式:运算规则:10,01AF1第21页/共133页(22)四、几种常用的逻辑关系逻辑“与”、“或”、“非”是三种基本的逻辑关系,任何其它的逻辑关系都可以以它们为基础表示。BAF与非:条件A、B都具备,则F 不发生&ABF其他几种常用的逻辑关系如下表:第22页/共133页(23)BAF或非:条件A、B

10、任一具备,则F 不发生1ABFBABABAF 异或:条件A、B有一个具备,另一个不具备则F 发生=1ABF同或:条件A、B相同,则F 发生=1ABFBABAABF 第23页/共133页(24)基本逻辑关系小结&ABFABF11FA&ABFABF1=1ABF表示式F=ABF=A+BF= ABAF ABF BAFABFABF国标惯用国外与或非与非或非异或逻辑符号ABFABFAFAFAABFBFABFABFABFABF第24页/共133页(25)与或非门CDAB +ABCDFABCDF&1&第25页/共133页(26)1.3 逻辑代数及运算规则逻辑代数:二进制运算的基

11、础。 应用代数方法研究逻辑问题。由英国数学家布尔(Boole)和德.摩根于1847年提出,又叫布尔代数,开关代数。第26页/共133页(27)1.3 逻辑代数及运算规则数字电路要研究的是电路的输入输出之间的逻辑关系,所以数字电路又称逻辑电路,相应的研究工具是逻辑代数(布尔代数)。在逻辑代数中,逻辑函数的变量只能取两个值(二值变量),即0和1,中间值没有意义。0和1表示两个对立的逻辑状态,不是数值0和1v逻辑变量具有逻辑属性的变量v逻辑表达式也可称作逻辑函数,描述逻辑自变量和逻辑因变量之间的逻辑关系第27页/共133页(28)1.3.1 逻辑代数的基本运算规则加运算规则:0+0=0 ,0+1=1

12、 ,1+0=1,1+1=1乘运算规则:00=0 01=0 10=0 11=1非运算规则:1001 AA 0,1,00 AAAAAAAA1, 11,0 AAAAAAAA第28页/共133页(29)1.3.2 逻辑代数的运算规律一、交换律二、结合律三、分配律A+B=B+AA B=B AA+(B+C)=(A+B)+C=(A+C)+BA (B C)=(A B) CA(B+C)=A B+A CA+B C=(A+B)(A+C)第29页/共133页(30)求证: (分配律第2条) A+BC=(A+B)(A+C)证明:右边 =(A+B)(A+C)=AA+AB+AC+BC ; 分配律=A +A(B+C)+BC

13、; 结合律 , AA=A=A(1+B+C)+BC ; 结合律=A 1+BC ; 1+B+C=1=A+BC ; A 1=1=左边第30页/共133页(31)四、吸收规则1.原变量的吸收:A+AB=A证明:A+AB=A(1+B)=A1=A利用运算规则可以对逻辑式进行化简。例如:CDAB)FE(DABCDAB 被吸收吸收是指吸收多余(冗余)项,多余(冗余)因子被取消、去掉 被消化了。长中含短,留下短。第31页/共133页(32)2.反变量的吸收:BABAA 证明:BAABABAA BA)AA(BA 例如:DEBCADCBCAA 被吸收长中含反,去掉反。字母上面没有非运算符的叫做原变量有非运算符的叫做

14、反变量第32页/共133页(33)五、反演定理BABABABA ABAB0001111010110110010111110000BA ABBA 可以用列真值表的方法证明:德 摩根 (De Morgan)定理:第33页/共133页(34)反演定理内容:将函数式 F 中所有的 + 变量与常数均取反 (求反运算)互补运算1.运算顺序:先括号 再乘法 后加法。2.不是一个变量上的反号不动。注意:用处:实现互补运算(求反运算)。新表达式:F显然:FF (变换时,原函数运算的先后顺序不变)(反函数,补函数)第34页/共133页(35)例题:1)()(1 DCBAF01 DCBAF与或式注意括号注意括号01

15、 DCBAFDBDACBCAF 1第35页/共133页(36)1.4 逻辑函数的表示法四种表示方法逻辑代数式 (逻辑表示式, 逻辑函数式)11&1ABY 逻辑电路图:卡诺图n2n个输入变量 种组合。真值表:将逻辑函数输入变量取值的不同组合与所对应的输出变量值用列表的方式一一对应列出的表格。BABAF 第36页/共133页(37)将输入、输出的所有可能状态一一对应地列出。 n个变量可以有2n个输入状态。1.4.1 真值表列真值表的方法:一般按二进制的顺序,输出与输入状态一一对应,列出所有可能的状态。第37页/共133页(38)1.4.2 逻辑函数式逻辑代数式:把逻辑函数的输入、输出关系写

16、成与、或、非等逻辑运算的组合式。也称为逻辑函数式,通常采用“与或”的形式。例:ABCCBACBACBACBAF 与普通代数不同的是,在逻辑代数中,不管是变量还是函数,其取值都只能是0或1,并且这里的0和1只表示两种不同的状态,没有数量的含义。第38页/共133页(39)一个逻辑函数的表达式可以有与或表达式、或与表达式、与非-与非表达式、或非-或非表达式、与或非表达式5种表示形式一种形式的函数表达式相应于一种逻辑电路。尽管一个逻辑函数表达式的各种表示形式不同,但逻辑功能是相同的(1)与或表达式:ACBAY(2)或与表达式:Y)(CABA(3)与非-与非表达式:Y ACBA(4)或非-或非表达式:

17、YCABA(5)与或非表达式:YCABA第39页/共133页(40)逻辑函数的标准形式&逻辑函数可以表示为最小项之和的形式(与或表达式)或者最大项之积的形式(或与表达式)&应用最多的是最小项之和的形式,也叫最小项标准式。&最小项也是卡诺图化简的基础。BACK第40页/共133页(41)最小项(MinTerm) 逻辑函数有n个变量,由它们组成的具有n个变量的乘积项中,每个变量以原变量或反变量的形式出现且仅出现一次,这个乘积项为最小项。N个变量有2n个最小项。 例如:n=3,对A、B、C,有8个最小项ABCBCACBACBACABCBACBACBA第41页/共133页(42

18、)最小项(续) 对任意最小项,只有一组变量取值使它的值为1,其他取值使该最小项为0 为方便起见,将最小项表示为min=3的8个最小项为:ABCmBCAmCBAmCBAmCABmCBAmCBAmCBAm76543210第42页/共133页(43)最小项(续) 任何逻辑函数均可表示为唯一的一组最小项之和的形式,称为标准的与或表达式 某一最小项不是包含在F的原函数中,就是包含在F的反函数中 例:)7 , 6 , 4 , 2()()(34726mmmmmCBAABCCBABCACBABCAACCBACBABCBAF第43页/共133页(44)最大项(MaxTerm) n个变量组成的或项,每个变量以原变

19、量或反变量的形式出现且仅出现一次,则称这个或项为最大项例如:n=3的最大项为CBAMCBAMCBAMCBAMCBAMCBAMCBAMCBAM76543210第44页/共133页(45)最大项(续)对任意一个最大项,只有一组变量取值使它的值为0,而变量的其他取值使该项为1将最大项记作Mi任何一个逻辑函数均可表示为唯一的一组最大项之积,称为标准的或与表达式n个变量全体最大项之积必为“0”某个最大项不是含在F的原函数中,就是在F的反函数中第45页/共133页(46)最大项(续)例如:)4 , 1 , 0()()()()()()()(3140MMMMCBACBACBACBACCBACBABAFBACK

20、第46页/共133页(47)1.4.3 卡诺图卡诺图的构成:将n个输入变量的全部最小项用小方块阵列图表示,并且将逻辑相邻的最小项放在相邻的几何位置上,所得到的阵列图就是n变量的卡诺图。最小项:构成逻辑函数的基本单元。对应于输入变量的每一种组合。变量赋值为1时用该变量表示(原变量)变量赋值为0时用该变量的反来表示(反变量)逻辑相邻:若两个最小项只有一个变量以原、反区别,其他变量均相同,则称这两个最小项逻辑相邻。第47页/共133页(48)最小项:输入变量的每一种组合。 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111输出变量Y的值输入变量二输入变量卡诺图卡诺图的每一

21、个方块(最小项)代表一种输入组合,并且把对应的输入组合注明在阵列图的上方和左方。第48页/共133页(49)1.4.4 逻辑图把相应的逻辑关系用逻辑符号和连线表示出来,就构成了逻辑图。&AB&CD1FF=AB+CD第49页/共133页(50)1.4.5 逻辑函数四种表示方式的相互转换一、逻辑电路图逻辑代数式BABY=A B+ABA BA1&AB&11第50页/共133页(51) 二、真值表卡诺图 A B Y 0 0 1 0 1 1 1 0 1 1 1 0二变量卡诺图真值表AB10101110第51页/共133页(52)三、真值表、卡诺图逻辑代数式方法:将真值表或

22、卡诺图中为1的项相加,写成 “与或式” 真值表 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB0 1010111AB实际上这是与非门的真值表: YAB故此逻辑代数式并非是最简单的形式,需要化简ABABBABABAY 第52页/共133页(53)1.5 逻辑函数的化简最简与或式乘积项的项数最少。每个乘积项中变量个数最少。逻辑函数化简的意义:逻辑表达式越简单,实现它的电路越简单,电路工作越稳定可靠。利用逻辑代数的基本公式逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。利用卡诺图化简卡诺图适用于输入变量为3、4个的逻辑代数式的化简;化简过程比公式法简单直观。

23、第53页/共133页(54)利用逻辑代数的基本公式例1:ABAC)BC(A)BCB(AABCBA)CC(ABCBAABCCABCBAF 反变量吸收提出AB=1提出A第54页/共133页(55)例2:CBBCBAABF )(CBBCBAAB )(反演CBAABCCCBAAB )()(配项CBBCAABCCBACBAAB 被吸收被吸收CBBBCAAB )(CBCAAB 利用逻辑代数的基本公式第55页/共133页(56)卡诺图化简的步骤 按照循环码规律指定卡诺图变量取值;按照循环码规律指定卡诺图变量取值;在函数最小项对应的小方块填在函数最小项对应的小方块填“1 1”,其他方块填,其他方块填“0 0”

24、;合并相邻填合并相邻填“1 1”的小方块,两个方块合并消去一的小方块,两个方块合并消去一个变量(一维块);个变量(一维块);4 4个方块合并消去两个变量个方块合并消去两个变量(二维块);(二维块);合并过程中先找大圈合并,圈越大消去的变量越合并过程中先找大圈合并,圈越大消去的变量越多;多;使每一最小项至少被合并包含过一次;每个合并使每一最小项至少被合并包含过一次;每个合并的圈中,至少要有一个的圈中,至少要有一个“1 1”没有被圈过,否则这没有被圈过,否则这个圈就是多余的。个圈就是多余的。第56页/共133页572.逻辑门电路2.1 概述2.2 门电路的实现2.3 集成电路 2.4 OC门2.5

25、 三态门第57页/共133页(58)2.1 概述门电路:实现基本逻辑运算和常用复合逻辑运算的电子电路(逻辑器件)门电路的主要类型:与门、或门、与非门、或非门、异或门等。门电路的输出状态与赋值对应关系:正逻辑:高电位对应“1”;低电位对应“0”。混合逻辑:输入用正逻辑、输出用负逻辑;或者输入用负逻辑、输出用正逻辑。一般采用正逻辑负逻辑:高电位对应“0”;低电位对应“1”。第58页/共133页(59)负逻辑门符号画法 在对应的输入端、输出端上加一个小圆圈O(表示相反的含义)加以区别 小圆圈当作非号,一条线两端同时加上或消去小圆圈逻辑功能不变 一条线上小圆圈从一端可移到另一端,其逻辑功能不变 在一个

26、逻辑符号的输入端和输出端同时加上(或去掉)小圆圈,并将加小圆圈的门如是与门改为或门,如是或门改为与门,其逻辑功能不变第59页/共133页(60)门(电子开关)满足一定条件时,电路允 许信号通过 开关接通 。开门状态:关门状态:条件不满足时,信号通不过 开关断开 。第60页/共133页(61)100VVcc在数字电路中,对电压值为多少并不重要,只要能判断高低电平即可。K开-VO输出高电平,对应“1”K合-VO输出低电平,对应“0”VOKVccRVV第61页/共133页(62)开关作用二极管反向截止:开关接通开关断开三极管(C,E)饱和区: 截止区:开关接通CEB开关断开 正向导通: CEB第62

27、页/共133页(63)2.2 门电路的实现1. 分立元件门电路体积大、工作不可靠需要不同电源各种门的输入、输出电平不匹配2. 集成电路TTL型门电路输入和输出端结构都采用半导体晶体管(TTL: Transistor-Transistor Logic)优点是开关速度较高,抗干扰能力较强,带负载的能力也比较强,缺点是功耗较大缺点第63页/共133页(64)金属-氧化物-半导体场效应管MOS( Metel-Oxide-Semiconductor Field Effect Transister )缺点:工作速度比TTL低 2. 电压控制元件,静态功耗小3. 允许电源电压范围宽(318V)4. 扇出系数

28、大,抗噪声容限大优点1. 工艺简单,集成度高扇入系数:门电路允许的输入端数目,一般不超过8扇出系数:门的输出端能连接的下一级输入端的个数,一般为83. 集成电路MOS型门电路第64页/共133页(65)在一块半导体基片上制作出一个完整的逻辑电路所需要的全部元件和连线。使用时接:电源、输入和输出。数字集成电路具有体积小、可靠性高、速度快、而且价格便宜的特点。逻辑门数10个以下:小规模集成电路 ( Small Scale Integration :SSI ) 逻辑门数1099个:中规模集成电路(Medium Scale Integration :MSI ) 逻辑门数1009999个:大规模集成电路

29、( Large Scale Integration :LSI ) 逻辑门数10000个以上:超大规模集成电路( Very Large Scale Integration :VLSI ) 2.3 集成电路IC第65页/共133页(66)集成电路规模的划分,目前在际上尚无严格、确切的定义。在发展过程中,逐渐形成一种近似的划分意见,按芯片上所含逻辑门电路或晶体管的个数作为划分标志。一般人们将单块芯片上包含100个元件或10个逻辑门以下的集成电路称为小规模集成电路;而将元件数在100个以上、1000个以下,或逻辑门在10个以上、100个以下的称为中规模集成电路;门数有100100000个元件的称大规模

30、集成电路(LSI),门数超过5000个,或元件数高于10万个的则称超大规模集成电路(VLSI)。 注:以上描述和前面的划分方法不同。集成电路相关第66页/共133页(67)集成电路的类型集成电路的类型 二极管-晶体管逻辑门(DTL)集 晶体三极管-晶体三极管逻辑门 (TTL)成 双极型 射极耦合逻辑门 (ECL)逻 集成注入逻辑门电路 ( )辑 N沟道MOS门 (NMOS)门 单极型(MOS型) P 沟道MOS门 (PMOS) 互补MOS门 (CMOS)LI2第67页/共133页(68)半导体三极管(BJT-Bipolar Junction Transistor),又常称为晶体管,是通过一定工

31、艺,将两个PN结结合在一起的器件。晶体管是用输入电流控制输出电流的电流控制器件,由导电载流子空穴和电子共同形成电流,因此又称为双极型晶体管或双向晶体管。场效应管是用输入电场控制输出电流的电压控制器件,导电载流子只有空穴或电子一种,因此又称为单极型晶体管或单向晶体管。在电子技术中一种由半导体材料制成的电子器件,可以用来控制电路中的电流。它分为双极型和单极型两种型式。双极型晶体管由一块分层的n-p-n或p-n-p半导体材料组成,具有发射极、基 极和集电极三条引接端,其电流是由正、负两种载流子共同产生的。在单极型晶体管中,引接端 叫作源极、栅极和漏极,其电流是由多数载流子产生的。三极管、晶体管、单极

32、晶体管和双极晶体管的区别第68页/共133页(69)TTLTTL门电路及改进门电路及改进7474系列系列74xx普通标准TTL74LSxx 低功耗肖特基TTL74Sxx肖特基TTL(抗饱和)74ALSxx先进低功耗肖特基TTL74ASxx先进肖特基TTL74Fxx高速TTL 第69页/共133页(70)7 4 L S 0 04个2输入与非门74LS00 的引脚排列图VCC 3A 3B 3Y 4A 4B 4Y 1A 1B 1Y 2A 2B 2Y GND 14 13 12 11 10 9 874LS20 1 2 3 4 5 6 7VCC 2A 2B NC 2C 2D 2Y 1A 1B NC 1C

33、1D 1Y GND74LS20 的引脚排列图 14 13 12 11 10 9 874LS00 1 2 3 4 5 6 77 4 L S 2 02个4输入与非门第70页/共133页(71)74LS04 6个反相器 14 13 12 11 10 9 874LS04 1 2 3 4 5 6 7VCC 4A 4Y 5A 5Y 6A 6Y 1A 1Y 2A 2Y 3A 3Y GNDVCC第71页/共133页(72)74LS02 4个2输入或非门 14 13 12 11 10 9 874LS02 1 2 3 4 5 6 7VCC 3Y 3B 3A 4Y 4B 4A 1Y 1B 1A 2Y 2B 3A G

34、ND+VCC第72页/共133页(73)&ABEF&CD&G1&ABEF&CDG 能否“线与”?ABCDEFEFCDABFEG 答案:TTL与非门不允许直接线与集电极开路的逻辑门(Open Collector)2.4 OC门问题:TTL与非门能否直接线与?第73页/共133页(74)OC门可以实现“线与”功能。&UCCF1F2F3FRLF=F1F2F3&符号输出端要接上拉负载电阻 RL RL 和UCC 可以外接ABF国标ABF惯用第74页/共133页(75)OC门的应用 实现“线与”逻辑功能 实现电平转换 例如,把输出高电平转换为10V时

35、,可将外接的上拉电阻接到10V电源上 用做驱动器 用OC门来驱动指示灯,继电器和脉冲变压器等 实现总线传输第75页/共133页(76)正常输出端有两种状态u高电平状态u低电平状态 三态门具有第三种状态u高阻状态(悬空状态、禁止状态)u输出阻抗很高(相当于与其他电路无关)u不是高电平,也不是低电平u具有一个控制端u控制端无效,输出高阻状态2.5 三态门(TS门)第76页/共133页(77)&ABFE符号输输出出高高阻阻0E 1E ABF 功能表三态门的符号及功能表&ABFE符号输输出出高高阻阻1E0EABF 功能表使能端高电平起作用使能端低电平起作用第77页/共133页(78)E

36、1E2E3公用总线三态门主要作为TTL电路与总线间的接口电路。三态门的用途工作时,E1、E2、E3分时接入高电平第78页/共133页(79) 三态门 (两输入与非) ABE&ABE&ABE国标国外AFEAFEAFEEAF三态缓冲器反相器高电平有效反相器低电平有效同相器低电平有效同相器高电平有效第79页/共133页803.组合逻辑电路3.1 概述3.2 编码器3.3 译码器 3.4 加法器3.5 数据选择器第80页/共133页(81)3.1 概述逻辑电路组合逻辑电路时序逻辑电路功能:输出只取决于 当前的输入。 组成:门电路,不存在记忆元件。功能:输出取决于当前的输入和原来的状态。

37、组成:组合电路、记忆元件。第81页/共133页(82)组合电路的研究内容:分析:设计:给定 逻辑图得到逻辑功能分析 给定逻辑功能画出 逻辑图设计第82页/共133页(83)3.2 编码器所谓编码就是赋予选定的一系列二进制代码以固定的含义。n个二进制代码(n位二进制数)有2n种不同的组合,可以表示2n个信号。一、二进制编码器二进制编码器的作用:将一系列信号状态编制成二进制代码。第83页/共133页(84)例:用与非门组成三位二进制编码器。-八线-三线编码器设八个输入端为I1I8,八种状态,与之对应的输出设为F1、F2、F3,共三位二进制数。设计编码器的过程与设计一般的组合逻辑电路相同,首先要列出

38、状态表(即真值表),然后写出逻辑表达式并进行化简,最后画出逻辑图。第84页/共133页(85)I1I2I3I4I5I6I7I8F3F2F10111111100010111111001110111110101110111101111110111100111110111011111110111011111110111真值表86421IIIIF 8642IIII 87432IIIIF 87653IIIIF 第85页/共133页(86)I1I2I3I4I5I6I7I8&F3F2F18-3 编码器逻辑图86421IIIIF 8642IIII 87432IIIIF 87653IIIIF 第86页/

39、共133页(87)译码是编码的逆过程,即将某二进制翻译成电路的某种状态。一、二进制译码器二进制译码器的作用:将n种输入的组合译成2n种电路状态。也叫n-2n线译码器。译码器的输入一组二进制代码译码器的输出一组高低电平信号3.3 译码器第87页/共133页(88)&1Y0Y2Y3YA1A0S2-4线译码器74LS139的内部线路输入控制端输出第88页/共133页(89)74LS139的功能表A1A01XX11110000111001101101011010111110S0Y1Y2Y3Y“”表示低电平有效。第89页/共133页(90)S1S10A11A10Y11Y12Y13Y10A11A1

40、0Y11Y12Y13Y1S20A21A20Y21Y22Y23Y2ccUGND3Y22Y21Y20Y21A20A2S274LS139管脚图一片139种含两个2-4译码器第90页/共133页(91)例:利用线译码器分时将采样数据送入计算机。0Y1Y2Y3Y0A1AS2-4线译码器ABCD三态门三态门三态门三态门AEBECEDE总线第91页/共133页(92)0Y1Y2Y3Y0A1AS2-4线译码器ABCD三态门三态门三态门三态门AEBECEDE总线000全为1工作原理:(以A0A1=00为例)数据脱离总线第92页/共133页(93)3位二进制译码器A2 A1 A0Y0 Y1 Y2 Y3 Y4 Y5

41、 Y6 Y70 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 0 0 0 0 0 0 00 1 0 0 0 0 0 00 0 1 0 0 0 0 00 0 0 1 0 0 0 00 0 0 0 1 0 0 00 0 0 0 0 1 0 00 0 0 0 0 0 1 00 0 0 0 0 0 0 1真值表输入:3位二进制代码输出:8个互斥的信号第93页/共133页(94)01270126012501240123012201210120AAAYAAAYAAAYAAAYAAAYAAAYAAAYAAAY&111 A2 A1 A0 Y7 Y6 Y5 Y4 Y3

42、Y2 Y1 Y0逻辑表达式逻辑图电路特点:与门组成的阵列3 线-8 线译码器第94页/共133页(95)集成二进制译码器74LS138 16 15 14 13 12 11 10 974LS138 1 2 3 4 5 6 7 8VCC Y0 Y1 Y2 Y3 Y4 Y5 Y6A0 A1 A2 G2A G2B G1 Y7 GND74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6Y7A0 A1 A2 G2A G2B G1Y0 Y1 Y2 Y3 Y4 Y5 Y6Y7A0 A1 A2 STB STC STA(a) 引脚排列图(b) 逻辑功能示意图A2、A1、A0为二进制译码输入端, 为译码输出端(

43、低电平有效),G1、 、为选通控制端。当G11、 时,译码器处于工作状态;当G10、时,译码器处于禁止状态。07YYAG2BG2022BAGG122BAGG第95页/共133页(96)真值表输 入使 能选 择输 出G1 2GA2 A1 A001234567 YYYYYYYY 1 0 1 01 01 01 01 01 01 01 00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 01 1 1 1 1 1 0 11 1 1 1 1 0 1 11 1 1 1 0 1 1 11 1

44、1 0 1 1 1 11 1 0 1 1 1 1 11 0 1 1 1 1 1 10 1 1 1 1 1 1 1输入:自然二进制码输出:低电平有效BAGGG222第96页/共133页(97)1 1 0 11 0 0 1+举例:A=1101, B=1001, 计算A+B。011010011加法运算的基本规则:(1) 逢二进一。(2) 最低位是两个数最低位的叠加,不需考虑进位。(3) 其余各位都是三个数相加,包括被加数、加数和低位来的进位。(4) 任何位相加都产生两个结果:本位和、向高位的进位。用半加器实现用全加器实现3.4 加法器第97页/共133页(98)一、半加器半加运算不考虑从低位来的进位

45、设:A-加数;B-被加数;S-本位和;C-进位A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 真值表BABABAS ABC 第98页/共133页(99)逻辑图半加器(HA)AiBiCiSi逻辑符号BABABAS ABC =1&ABSC惯用符号AiBiSiCi+1国标符号CO第99页/共133页(100)二、全加器:输入:Ai-加数;Bi-被加数;Ci-低位的进位输出:Si-本位和;Ci+1-进位真值表逻辑符号全加器(FA)AiBiCiSiCi+1惯用符号AiBiCiSiCi+1CICO国标符号第100页/共133页(101)从一组数据中选择一路信号进行传

46、输的电路,称为数据选择器,又叫多路开关,简称MUX(Multiplexer)控制信号输入信号输出信号数据选择器类似一个多投开关。选择哪一路信号由相应的一组控制信号控制。A0A1D3D2D1D0W3.5 数据选择器第101页/共133页(102)一位数据选择器:从n个一位数据中选择一个数据。m位数据选择器:从n个m位数据中选择一个数据。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信号四二选一选择器n=2 , m=4第102页/共133页(103)四选一集成数据选择器74LS153输输入入输输出出A1A0W 10000D0010D1100D2110D3E功能表控制端:为 或 ,低电平有效

47、。EE1E2选择端A1 A0 :为两个4选1数据选择器共用。其中第103页/共133页1044.时序逻辑电路4.1 概述4.2 基本 RS 触发器4.3 常用触发器 4.4 寄存器和计数器4.5 PLD简介第104页/共133页(105)时序电路的特点:具有记忆功能。在数字电路中,凡是任一时刻的稳定输出不仅决定于该时刻的输入,而且还和电路原来的状态有关者,都叫做时序逻辑电路,简称时序电路。组合逻辑电路存储功能.XYZW4.1 概述时序电路的基本单元:触发器。第105页/共133页(106)触发器的功能:形象地说, 它具有“一触即发”的功能。在输入信号的作用下,它能够从一种状态 ( 0或1 )转

48、变成另一种状态 ( 1或0 )。触发器的特点:有记忆功能的逻辑部件。输出状态不只与现时的输入有关,还与原来的输出状态有关。触发器的分类:按功能分:有R-S触发器、D型触发器、JK触发器、T型等;按触发方式划分:有电平触发方式、主从触发方式和边沿触发方式 。第106页/共133页(107)两个输入端4.2 基本 RS 触发器&a&bQQDRDS反馈两个输出端反馈正是由于引入反馈,才使电路具有记忆功能 !第107页/共133页(108)输入RD=0, SD=1时若原状态:1Q0Q 11001010输出仍保持:1Q0Q &a&bQQDRDS若原状态:0Q1Q 0111

49、1010输出变为:1Q0Q 置“0”!&a&bQQDRDS第108页/共133页(109)输入RD=1, SD=0时若原状态:1Q0Q 10101001输出变为:0Q1Q &a&bQQDRDS若原状态:0Q1Q 00110101输出保持:0Q1Q &a&bQQDRDS置“1” !第109页/共133页(110)输入RD=1, SD=1时若原状态:10111001输出保持原状态:0Q1Q 0Q1Q 若原状态:1Q0Q 01110110输出保持原状态:1Q0Q &a&bQQDRDS&a&bQQDRDS保持!第110页/

50、共133页(111)输入RD=0, SD=0时0011输出:全是1注意:当RD、SD同时由0变为1时,翻转快的门输出变为0,另一个不得翻转。因此,该状态为不定状态。&a&bQQDRDS基本触发器的功能表QRD SD Q1 10 11 00 0保持原状态保持原状态0 11 0不定状态不定状态复位端置位端QDRDSQ逻辑符号第111页/共133页(112)1. 触发器是双稳态器件,只要令RD=SD=1,触发器即保持原态。稳态情况下,两输出互补。一般定义Q为触发器的状态。2. 在控制端加入负脉冲,可以使触发器状态变化。SD端加入负脉冲,使Q1,SD称为“置位”或“置1”端。RD端加入

51、负脉冲,使Q0,RD称为“复位”或“清0”端。小 结第112页/共133页(113)同步RS触发器QQRDSDabRDSDcdRSCP“ 同步 ”的含义:由时钟CP决定R、S能否对输出端起控制作用。直接清零端直接置位端输出端输入端RDSDRSCQQ第113页/共133页(114)QQRDSDabRDSDcdRSCP直接清零端直接置位端直接清零端、置位端的处理:平时常为 1平时常为 1第114页/共133页(115)红色线无圆圈表示:“高电平有效”,即 “ 只有在时钟 CP1 时,它才表现出应有的逻辑功能;如果CP0,输出端 Q 则保持原状态”QQRDSDRSC逻辑符号逻辑符号绿色线有一个圆圈,

52、表示:“低电平有效”,即 “ 只有在时钟 CP0 时,它才表现出应有的逻辑功能;如果CP1,输出端 Q 则保持原状态”QQRDSDRSC第115页/共133页(116)R S 触发器的电路结构演变过程由两个与非门构成基本RS触发器由四个与非门构成同步RS触发器由九个与非门构成主从RS触发器公共结构让其接受时钟控制第116页/共133页(117)4.3 常用触发器D Qn+1 0 0 1 1 功能表CPDQQD触发器的输出波形逻辑符号RDSDD CQQ结论:Qn+1 = D1. D触发器(D锁存器)第117页/共133页(118)触发器的触发方式触发方式?研究翻转时刻与时钟脉冲间的关系电位触发方

53、式电位触发正电位触发负电位触发CP=1 期间翻转CP=0 期间翻转第118页/共133页(119)边沿触发方式为了免除CP=1期间输入控制电平不许改变的限制,可采用边沿触发方式。其特点是:触发器只在时钟跳转时发生翻转,而在CP1或CP0期间,输入端的任何变化都不影响输出如果翻转发生在上升沿就叫“上升沿触发”或“正边沿触发”。如果翻转发生在下降沿就叫“下降沿触发”或“负边缘触发”第119页/共133页(120)CP D Qn+1 0 Qn 1 Qn 0 0 1 1 边沿触发的D触发器功能表正沿触发触发方式在逻辑符号中的表示:CQQ负沿触发CQQ正沿触发DD第120页/共133页(121)J K Qn+1 0 0 Qn 0 1 0 1 0 1 1 1 nQ功能表JK触发器的功能小结:1. 当J=0、K=0时,具有保持功能;2. 当J=1、K=1时,具有翻转功能;3. 当J=0、K=1时,具有复位功能;4

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论