pcb线路板专业英语(中英)_第1页
pcb线路板专业英语(中英)_第2页
pcb线路板专业英语(中英)_第3页
pcb线路板专业英语(中英)_第4页
pcb线路板专业英语(中英)_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1 * Process Module 說明 :A. 下料 ( Cut Lamination) a-1 裁板 ( Sheets Cutting) a-2 原物料發料 (Panel)(Shear material to Size)B. 鑽孔 (Drilling) b-1 內鑽 (Inner Layer Drilling ) b-2 一次孔(Outer Layer Drilling ) b-3 二次孔 (2nd Drilling) b-4 雷射鑽孔 (Laser Drilling )(Laser Ablation ) b-5 盲(埋)孔鑽孔 (Blind & Buried Hole Dril

2、ling)C. 乾膜製程 ( Photo Process(D/F) c-1 前處理 (Pretreatment) c-2 壓 膜 (Dry Film Lamination) c-3 曝 光 (Exposure) c-4 顯 影 (Developing) c-5 蝕銅 (Etching) c-6 去膜 (Stripping) c-7 初檢 ( Touch-up) c-8 化學前處理,化學研磨 ( Chemical Milling ) c-9 選擇性浸金壓膜 (Selective Gold Dry Film Lamination) c-10 顯 影(Developing ) c-11 去膜(Str

3、ipping )D. 壓 合 Lamination d-1 黑 化 (Black Oxide Treatment) d-2 微 蝕 (Microetching) Developing , Etching & Stripping ( DES )2 d-3 鉚釘組合 (eyelet ) d-4 疊板 (Lay up) d-5 壓 合 (Lamination) d-6 後處理 (Post Treatment) d-7 黑氧化 ( Black Oxide Removal ) d-8 銑靶 (spot face) d-9 去溢膠 (resin flush removal)E. 減銅 (Coppe

4、r Reduction) e-1 薄化銅(Copper Reduction)F. 電鍍 (Horizontal Electrolytic Plating) f-1 水平電鍍 (Horizontal Electro-Plating) (Panel Plating) f-2 錫鉛電鍍 ( Tin-Lead Plating ) (Pattern Plating) f-3 低於 1 mil ( Less than 1 mil Thickness ) f-4 高於 1 mil ( More than 1 mil Thickness) f-5 砂帶研磨 (Belt Sanding) f-6 剝錫鉛 ( T

5、in-Lead Stripping) f-7 微切片 ( Microsection)G. 塞孔 (Plug Hole) g-1 印刷 ( Ink Print ) g-2 預烤 (Precure) g-3 表面刷磨 (Scrub) g-4 後烘烤 (Postcure)H. 防焊(綠漆): (Solder Mask) h-1 C面印刷 (Printing Top Side) h-2 S面印刷 (Printing Bottom Side) h-3 靜電噴塗 (Spray Coating) h-4 前處理 (Pretreatment) h-5 預烤 (Precure) h-6 曝光 (Exposure

6、) h-7 顯影 (Develop) h-8 後烘烤 (Postcure)3 h-9 UV烘烤 (UV Cure) h-10 文字印刷 ( Printing of Legend ) h-11 噴砂 ( Pumice)(Wet Blasting) h-12 印可剝離防焊 (Peelable Solder Mask)I . 鍍金 Gold plating i-1 金手指鍍鎳金 ( Gold Finger ) i-2 電鍍軟金 (Soft Ni/Au Plating) i-3 浸鎳金 ( Immersion Ni/Au) (Electroless Ni/Au)J. 噴錫 (Hot Air Solde

7、r Leveling) j-1 水平噴錫 (Horizontal Hot Air Solder Leveling) j-2 垂直噴錫 ( Vertical Hot Air Solder Leveling) j-3 超級焊錫 (Super Solder ) j-4. 印焊錫突點 (Solder Bump)K. 成型 (Profile)(Form) k-1 撈型 (N/C Routing ) (Milling) k-2 模具沖 (Punch) k-3 板面清洗烘烤 (Cleaning & Backing) k-4 V型槽 ( V-Cut)(V-Scoring) k-5 金手指斜邊 ( Be

8、veling of G/F)L. 短斷路測試 (Electrical Testing) (Continuity & Insulation Testing) l-1 AOI 光學檢查 ( AOI Inspection) l-2 VRS 目檢 (Verified & Repaired) l-3 汎用型治具測試 (Universal Tester) l-4 專用治具測試 (Dedicated Tester) l-5 飛針測試 (Flying Probe)M. 終檢 ( Final Visual Inspection) m-1 壓板翹 ( Warpage Remove) m-2 X-O

9、UT 印刷 (X-Out Marking) m-3 包裝 及出貨 (Packing & shipping)4 m-4 目檢 ( Visual Inspection) m-5 清洗 及烘烤( Final Clean & Baking) m-6 護銅劑 (ENTEK Cu-106A)(OSP) m-7 離子殘餘量測試 (Ionic Contamination Test )(Cleanliness Test) m-8 冷熱衝擊試驗 (Thermal cycling Testing) m-9 焊錫性試驗 ( Solderability Testing )N. 雷射鑽孔(Laser Ab

10、lation) N-1 雷射鑽Tooling孔 (Laser ablation Tooling Hole) N-2 雷射曝光對位孔(Laser Ablation Registration Hole) N-3 雷射Mask製作(Laser Mask) N-4 雷射鑽孔(Laser Ablation) N-5 AOI 檢查及VRS ( AOI Inspection & Verified & Repaired) N-6 Blaser AOI (after Desmear and Microetching) N-7 除膠渣 (Desmear) N-8 微蝕 (Microetching

11、)5A/W (artwork) 底片Ablation 燒溶(laser),切除abrade 粗化abrasion resistance 耐磨性absorption absorptionACC ( accept ) 允收accelerated corrosion test 加速腐蝕accelerated test 加速試驗acceleration 速化反應accelerator 加速劑acceptable 允收activator 活化液active work in process 實際在製品adhesion 附著力 adhesive method 黏著法air inclusion 氣泡air k

12、nife 風刀amorphous change 不定形的改變amount 總量amyl nitrite 硝基戊烷analyzer 分析儀anneal 回火annular ring 環狀墊圈;孔環anode slime (sludge) 陽極泥anodizing 陽極處理AOI ( automatic optical inspection )自動光學檢測applicable documents 引用之文件AQL sampling 允收水準抽樣aqueous photoresist 液態光阻aspect ratio 縱橫比(厚寬比)As received 到貨時6back lighting 背光b

13、ack-up 墊板 banked work in process 預留在製品base material 基材baseline performance 基準績效batch 批beta backscattering 貝他射線照射法beveling 切斜邊;斜邊biaxial deformation 二方向之變形black-oxide 黑化blank controller 空白對照組7blank panel 空板blanking 挖空blip 彈開blister 氣泡;起泡blistering 氣泡blow hole 吹孔board-thickness error 板厚錯誤bonding plies

14、 黏結層bow ; bowing 板彎break out 從平環內破出bridging 搭橋;橋接BTO (Build To Order) 接單生產burning 燒焦burr 毛邊(毛頭)camcorder 一體型攝錄放機carbide 碳化物carlson pin 定位梢carrier 載運劑catalyzing 催化catholic sputtering 陰極濺射法caul plate 隔板;鋼板calibration system requirements 校驗系統之各種要求center beam method 中心光束法central projection 集中式投射線certifi

15、cation 認證chamfer 倒角 (金手指)chamfering 切斜邊;倒角characteristic impedance 特性阻抗charge transfer overpotential 電量傳遞過電壓chase 網框checkboard 棋盤chelator 蟹和劑chemical bond 化學鍵chemical vapor deposition 化學蒸著鍍circumferential void 圓周性之孔破clad metal 包夾金屬clean room 無塵室clearance 間隙coat 鍍外表coating error 防焊覆蓋錯誤8coefficient of

16、 thermal expansion (CTE) 熱澎脹系數cold solder joint 冷焊點cold-weld 金屬粉末冷焊color 顏色color error 顏色錯誤compensation 補償competitive performance 競爭力績效complex salt 錯化物complexor 錯化物component hole 零件孔component side 零件面concentric 同心conformance 密貼性consumer products 消費性產品contact resistance 接觸電阻continuous performance 連續發

17、揮效能contract service 協力廠controlled split 均裂式conventional flow 亂流方式conventional tensile test 傳統張力測試法conversion coating 轉化層convex 突出coordinate list 資料清單copper claded laminates (CCL) 銅箔基板copper exposure 線路露銅copper mirror 鏡銅copper pad 銅箔圓配copper residue (copper splash) 銅渣corrosion rate numbering 腐蝕速率計數系統

18、corrosion resistance 抗蝕性coulombs law 庫倫定律countersink 喇叭孔coupon 試樣coupon location 試樣點covering power 遮蓋力CPU 中央處理器crack 破裂;裂痕crazing 裂痕;白斑cross linking 交聯聚合9cross talk 呼應作用crosslinking 交聯crystal collection 結晶收集curing 聚合體current efficiency 電流效率cut-outs 挖空cutting 裁板cyanide 氰化物cycles of learning 學習循環cycle

19、-time reduction 交期縮短date code 週期deburring 去毛頭dedicated 專用型degradation 退變delamination 分層dent / pin hole 凹陷 / 針孔department of defense 國防部designation 字碼簡示法de-smear 除膠渣developing 顯影dewetting 縮錫dewetting time 縮錫時間dimension error 外形尺寸錯誤dielectric constant 介質常數difficulty 困難度difunctional 雙功能dimension 尺寸dime

20、nsion stability 尺寸安定性dimensional stability 尺度安定性dimension and tolerance 尺寸與公差dirty hole 孔內異物discolor hole 孔黑;孔灰;氧化discoloration 變色disposable eyelet method 消耗性鉚釘法distortion factor 尺寸變形函數double side 雙面板10downtime 停機時間drill 鑽孔drill bit 鑽頭drill facet 鑽尖切萷面drill pointer pointerdrilled blank board 已鑽孔之裸板d

21、rilling 鑽孔dry film 乾膜ductility 延展性economy of scale 經濟規模edge spacing 板邊空地edge-board contact ( gold finger ) 金手指efficiency 能量效率electric test 電測electrical testing 電測;測試electrochemical machine ECM 電化學加工法electrochemical reactor 電化學反應器electroforming 電鑄electroless plate 化學銅electroless-deposition 無電鍍electro

22、polishing 電解拋光electrorefining 電解精鍊electrowinning 電解萃取elliptical set 橢圓形embrittlement 脆性entitlement performance 可達成績效entrapment 電鍍夾雜物epoxy 環氧樹酯equipotential 電位線error data file 異常情形etch rate 蝕銅速率etchant 蝕刻液Etch-back 回蝕evaluation program 評估用程式exposure 曝光external pin method 外部插梢法eyelet hole 鉚釘孔Eyeleting

23、 鉚眼fabric 網布failure 故障11fast response 快速回應fault 瑕庛;缺陷fiber exposure 纖維顯露fiber protrusion 纖維突出fiducial mark 光學點,基準記號filler 填充料film 底片filtration 過濾finished board 成品fixing 固著fixture 電測夾具(治具)flaking off 粹離flammability rating 燃性等級flare 喇叭形孔flat cable 併排電纜feedback loop 回饋循環first-in-first-out (FIFO) 先進先出fl

24、exible manufacturing system (FMS) 彈性製造系統flux 助焊劑foil distortion 銅層變形fold 空泡foreign include 異物foreign material 基材內異物free radical chain polymerization 自由基連鎖聚合fully additive 加成法fully annealed type 徹底回火軔化之類形function 函數fundamental and basic 基本fungus resistance 抗黴性funnel flange 喇叭形摺翼galvanized 加法尼化製程gap 鑽

25、尖分開gauge length 有效長度gel time 膠化時間general resist ink 一般阻劑油墨general 通論general industrial 一般性(電子)工業級geometrical leveling 幾何平整glass transition temperature (Tg) 玻璃態轉換溫度12Gold 金gold finger 金手指gold plating 鍍金golden board 標準板gouges 刷磨凹溝gouging 挖破grain boundary金屬晶體之四邊green 綠色grip 夾頭ground plane 接地層ground pla

26、ne clearance接地空環hackers 駭客HAL ( hot air leveling ) 噴錫haloing 白邊;白圈hardener 硬化劑hardness 硬度hepa filter 空氣濾清器high performance industrial 高性能(電子)工業級high reliability 高可靠度high resolution高解析度high temperature elongation (HTE) 高溫延展性銅箔high temperature epoxy (HTE) 高溫樹酯hit 擊hole counter 數孔機hole diameter 孔徑hole

27、diameter error孔徑錯誤hole location 孔位hole number 孔數hole wall quality孔壁品質hook 外弧hot dip 熱浸法hull cell 哈氏槽hybrid 混成積體電路hydrogen bonding氫鍵hydrolysis 水解hydrometallurgy濕法冶金法image analysis system影像分析系統image transfer 影像轉移immersion gold浸金 (化鎳金)13immersion plating 浸鍍法impedance 阻抗infrared reflow 紅外線重熔inhibitor 熱聚

28、合抑制劑injection mold 射模ink 油墨innerlayer & outlayer 內外層insulation resistance 絕緣電阻intended position 應該在的位置intensifier 增強器intensity 強度inter molecular exchange 交互改變interconnection 互相連通ionic contaminants 離子性污染物ionic contamination testing 離子污染試驗IPA 異丙醇5I : inspiration (啟蒙) identification 確認計劃目標 implemen

29、tation 改善方案 information 數據 internalization 制度化invisible inventory 無形的庫存knife edges 刀緣Knoop 努普(硬度單位)kraft paper 牛皮紙laminar flow 層流laminate 基層板laminating 壓合lamination 壓合laminator 壓膜機land 焊墊lay back 刃角磨損lay up 組合疊板layout 佈線;佈局lead screw 牽引螺絲leakage 漏電learning curve 學習曲線legend 文字標記leveling 平整14levelling

30、 additive平整劑levelling power平整力life support 維繫生命limiting current極限電流line space 線距line width 線寬linear variable differential transformer(LVDL) 線性可變差動轉換器liquid 液狀(態)liquid crystal resins液晶樹脂liquid photoimageable solder resist ink液態感光防焊油墨liquid photoresist ink液態光阻劑油墨lot size 批量lower carrier 底部承載板mechanic

31、al plating機祴鍍法machine scrub 刷磨清潔法macrothrowing power 巨分佈力margin 鑽頭刃帶market share 市場佔有率marking error 文字錯誤masked leveling儰裝平整mass lamination大型壓板mass transfer 質量傳送效應mass transfer overpotential 質量傳遞過電壓mass transportation質傳master drawing主圖;藍圖material use factor材料使用率mealing 泡點;白點memory 記憶裝置meniscograph so

32、lderability measurement 新月型焊錫效果microetch 微蝕microetching 微蝕microfocus 微焦距microfocus system微焦距系統microprofile 微表面microsectioning微切片法microthrowing power微分佈力migration 遷移mini-tensile tester迷你拉力測試儀mis hole location孔位錯誤15misregistration焊錫面與零件面對位偏差misregsitration對不準moisture and insulation resistance test濕氣與絕

33、緣電阻試驗molded circuit board (MCB) 模製電路板monoethanal amine單乙醇氨monohydrate state水化物monomer 單分子膜;單體mouse bite 鋸齒;蝕刻缺口msec 毫秒muffle furnace 高溫焚火爐multichip 超大IC型(多晶片模組) mylar 保護膜nail head 釘頭NC drill 數位鑽孔機negative etchback反回蝕negative film 負片negative rake angle負摳耙角network 迴路;網路neutralization 中和nick 缺口nickel 鎳

34、nodule 銅瘤;瘤粒no flow resin 不流 樹脂noise 雜訊nominal 標示nominal dimension標定長度nominal gel time標示膠性時間nominal resin content標示膠含量nominal resin flow標示膠流量nominal scaled flow thickness標示比例流量厚度OA equip 辦公室自動化設備obsolescence factor報廢因素OEM 原設備製造商offset-list 補償數據清單ohmmeter 歐姆計open 斷路open circuits 斷路open short testing斷

35、短路測試16opening 開口original art work (A/W) 原稿底片Others 其它outgrowth 增出over design 牛刀殺雞overlap 鑽尖重疊overlay entry 蓋板overpotential 過電壓oxidation 氧化oxide treatment黑化處理oxided cytochrome氧化性之細包色素oxygen evolution氧氣發生反應packed bed 充填床式pad 錫墊;圓配pad copper exposurepad露銅panel 小型板面;母板panel plating 一次銅電鍍parasitic 寄生的par

36、t no. 料號pattern plating 二次銅電鍍PCB ( print circuit board )印刷電路板pcs 片peel strength 抗撕強度peeling off 剝離(剝落)performance specification性能規範permittivity 透電率perspectives on experience經驗透視PET 聚酯photodiode detector發光二極體偵測器photo initiator 感光啟始劑photoresist 光阻phototool 光具(指工作底片)piece 子板面pinceton applied research腐蝕

37、測定儀pink ring 粉紅圈pit 凹點17pitch 腳距planar 平面plating 電鍍plating exposure下鍍層露出plug gauge 插規plug hole 孔塞PNL (panel) 排板polar-polar interaction極性之間的吸力polyester 聚酯類polyglycols 聚乙二醇polyimide 聚亞醯氨poor bevelling 磨邊加工引起突起,剝離poor drill 孔形不良poor HAL 噴錫不良poor marking 字體不良poor pad 錫墊不良poor printed 印刷偏差poor solderabil

38、ity焊錫性不良poor touch-up 補線不良position control system位置控制系統positive rake angle正摳耙角power curve model幕次曲線模式practice 工藝慣例preferred 良好premature tearing提前撕裂prepolymer 預聚合物prepreg 膠片pre-process ( front-end)製前press 壓床press cycle 壓合週期primary current distribution 一次電流分佈primary 主要product lifetimes生命週期product proc

39、ess製程promoter 促進劑protocal 初步資料prussic acid 普魯士酸PTF-based process厚膜糊法18PTH (plating though hole)導通孔pull away 拉開pumice 浮石粉pumice scrub 噴砂清潔法pyrometallurgy火燒法冶鍊QC ( quality control)品管QFP (quad flat pack )扁方型封裝體qualification inspection資格審查檢驗qualification testing資格檢定quality classification品質等級quantitative

40、 計量式測試rack 掛架radiometer 能量劑rake angle 摳耙角RAM Random Access Memory 隨機存取記憶體real time 關鍵時刻recessed trace process凹槽線路法recovery tank 回收槽reduction 還原re-eninforcement強化refraction 折光率reinforcement style補強材料的型式register mark 對位用標記registration hole對位孔registration pattern長方形銅地REJ ( reject ) 退貨;拒收rejectable 拒收re

41、lease agent 脫模劑relief angle 浮離角remark 備註repair 修理resin content 樹脂含量(膠含量)resin flow 膠流量resin flow percentage樹脂流量之百分率resin recession 樹脂下陷resin smear 膠渣resist strippers 剝乾膜劑19resistor network排列電阻resolution 解像度return on assets資產報酬率reversibility 可逆性rework 重工rosin 天然松香rotating cylinder旋轉圓柱形roughtness 孔壁粗糙

42、;粗慥routing 切外形,成型routing bit 銑刀runout 偏轉S/L on hole 孔內沾文字S/M ( solder mask ), S/L防焊文字S/M (solder mask)防焊S/M error 防焊種類錯誤S/M on hole 孔內綠漆salt spray test 鹽水噴霧試驗sampling size 抽樣數scope 範圍scored 刻痕scoring 樞槽;刮線scrap 廢框scratches 刮傷screen printing 網版印刷scum 透明殘膜sealing 封孔處理secondary 次要semi-additive 半加成法sens

43、itize 敏化sensitizer 敏化液separator 鋼隔板sequential lamination漸成式壓法serrated edges 毛邊shatter 破碎20short 短路shunt 分路silane treatment矽烷處理silicone coupling agent矽烷偶合劑silk screen 文字印刷simulator 模擬器single axis 單軸sizing 底片之伸縮補償skip 漏印skip printing 跳印;漏印sliver 絲條slot 開槽slotting 開槽SMD ( surface mount device ) 表面黏著元件s

44、mear 膠渣SMT ( surface mount technology )表面黏著技術sodium carbonate monohydrate 結晶水碳酸鈉soft tooling 軟性工具solder 焊錫; 錫鉛solder bridge 錫橋solder bump 錫突solder float 漂錫solder mask adhesion綠漆附著力solder on G/F 金手指沾錫solder on trace 線路沾錫solder plug 錫塞solder side 焊錫面solderability 焊錫性solid carbide 實質碳化物spacing 間距spacin

45、g nonenough間距不足SPC ( Statistical Process Control )統計生管specification 規範special considerations特別考慮spin coating 旋轉塗佈spindle 鑽軸spiral contractometer螺旋收縮儀spot face 銑靶spray coating 噴塗21Squeegee 刮刀stacking structure疊板結構stamping 沖壓standard hydraulic lamination標準液壓法standardizing 標準化starvation 缺膠step tablet 格片數stock option 認股選擇權strain 應度strength 強度stressmeter 應力計subtractive 減除法surface convex表面突起surface examination表面檢查surface insulation resistance (SIR)表面絕緣電阻surface mount 表面黏著方式surface roug

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论