



下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、FPG隔水灯实验报告实验目的熟练该软件的操作的同时,学会用VHD用言来编程流水灯的制作实验步骤步骤一)初步设定16位流水灯的流程图二)打开quartus软件,建立一个新工程,命名为liushuideng ,在义件处新建一个VHDLFile,编写程序。三)打开仿真-L具,设置仿具模式为Funnctional ,用自动的模式,然后执行仿真。四)进行编译运行结果,分析结果1、VHDLM程序:library ieee;use ieee.std_logic_1164.ALL;use ieee.std_logic_arith.ALL;use ieee.std_logic_unsigned.ALL;enti
2、ty lsd isPort ( clk2,en2: in STD_LOGIC;lsd_out : out STD_LOGIC_VECTOR(15 downto 0);end lsd;architecture liushuideng of lsd issignal n:STD_LOGIC_VECTOR(3 downto 0);signal m:std_logic_vector(1 downto 0);signal k:std_logic;beginprocess(clk2,en2)beginif clk2'event and clk2='1'thenif en2='
3、;1' thenif m="10" thenm<="01"k<=not k;else m<=m+"01"end if;end if;end if;end process;process(k)beginif k'event and k='1' thencase n iswhen "0000"=>lsd_out<="0000000000000001"when "0001"=>lsd_out<="0
4、000000000000010"when "0010"=>lsd_out<="0000000000000100"when "0011"=>lsd_out<="0000000000001000"when "0100"=>lsd_out<="0000000000010000"when "0101"=>lsd_out<="0000000000100000"when "0110
5、"=>lsd_out<="0000000001000000"when "0111"=>lsd_out<="0000000010000000"when "1000"=>lsd_out<="0000000100000000"when "1001"=>lsd_out<="0000001000000000"when "1010"=>lsd_out<="0000010
6、000000000"when "1011"=>lsd_out<="0000100000000000"when "1100"=>lsd_out<="0001000000000000"when "1101"=>lsd_out<="0010000000000000”;when "1110"=>lsd_out<="0100000000000000”;when "1111"=>lsd
7、_out<="1000000000000000”;end case;n<=n+"0001"end if;end process;end liushuideng;2、实验过程:1)打开quartus软件,建立一个新工程,命名为liushuideng ,在文件处新建一个VHDL File,编写程序。(如下图1)0' hd.vbd036T 26813 工41517 10192021end Isd;S arehitectiiire liushuideng of aignal n ? STD_LOGXC_VECTOR(3 signal ms3td_lag
8、ic_vectcz(1 signal k:3X._logie;H beginSprocess (clk2r en.2 ) hegjin13d 13 downto 0j; dawntc 0;S if clk2 1 * * * * * * event a.nd clk2-111 ChenB if en2=81" chesxB ifthennK=n,01"k<=nat k;S elseendend if;end 1£:end proceas;Hprocess(k)hegjinS if k" event and ' 17 thenEE case n
9、 iswhen irTDG00ini>1sd_oux<-*ODOOO00000DDOOO1";when 1Tt)001 *=:>lad "lb"00口00009DM匚尸;。Canplahon Report - Flow Summay 包3515d_OU<-WOODOO000000001000;36when "0011*->37lsd_aut<=wODDOOOOOODD01QOO,T;3Bwhen 同 01 口口1T,391 s d_ou'E.< 0 ODOOO 0000D100 00w;40vhen &q
10、uot;0101*=>41ladutCODDOOOOOODlOOQOO;42whenIL)43i3d_ouc<"wooDOOCioooiDOoaooTr;44when "0111w=>4EIsd out<=,T0DD00Cl001D000000f,i;46when "ia00iT->47lSd_OUt<-w0DD00CJ0100D0CKJ00T,1;4Bwhen H1001*t=>4913 d_aut <=n ODDOOCllOODDOOOOOTf;50when PTlQ10n,«>51isd_aui
11、t<sWooDO(iooooDOoaooTr:52when M1011tt=>531 a d_out <=" ODDOIOOOODDOMOO0;54when "liaow->5513d_oot<-"QQ1QQQ00DDDOOO0";56when M1101"=>5713d_out<-w00100000000000000;SBwhen59i3d_out<slFoiDOoaaooDDMaoonr60when 叮111*=>61Isd cmt<=wIDDODOOOODDOOOOO0;62en
12、d case;百3n<sn-i-"OODlfT;64end if;6Bend proceas;2)编写好VHDLS序就进行编译,编译成功后,新建一个波形文件,设置好初值。2)1SO25 rw纪 口 虫IrieiYdl&DSns 51Ml.以A茹N*十Vtlue 遢临81B比0dU£A MM1>LEA Q眄Ta*回.vtA gEVu然.A 1j13q-.A Ii_f-.A I田Ale一-Aly-.AI法¥3 1"._*工、L *i '-15 LO.A工3 14. _晶1晓机 12,A 1U 13-.A 1& L4A X&15一-A I66-Al6T-Al"IEL.-* IM-aslsi T rn= B-3"insm有 UZS mio. g jie眄 g tie口 m假掇效懈哒然微洗极烟软速陵然烟的掇皎微滤 jSJ¥SSJ¥SSSSSSSS?SSfifi5iSSfiSSSJ wwwwswwmwwjmwwjnr3)再打开仿真工具,设置仿真模式为Funnctional ,执行仿真。(如下图3)4)仿真结果。(如下图4)总结:由图显示,该结果就是十六位的流水灯,该流水灯就是以一个 方向进行循环的,从一开始到十六,到了十六就有从一开始。通过的数值变化,进而控制ls
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 钻井泥浆供应服务合同
- 采购燃气壁挂炉的合同模板
- 2025年无形资产交易需签订合同吗
- 2025北京车位租赁合同范本
- 《空气的污染与净化》课件
- 《国际市场营销策略分析》课件
- 2025精简版房屋交易合同范本
- 第八单元第二节 海水“晒盐”教学设计-2023-2024学年九年级化学鲁教版下册
- 2025建筑工程材料采购合同范本
- 2025网络平台会员商务技术服务合同
- 2025年第三届天扬杯建筑业财税知识竞赛题库附答案(501-1000题)
- 黄冈市2025年春季九年级调研考试语文试卷
- 国开电大软件工程形考作业3参考答案 (一)
- 2025-2030中国汽车轮毂行业市场深度调研及发展趋势与投资风险研究报告
- 育儿真经知到课后答案智慧树章节测试答案2025年春浙江中医药大学
- DB61T 5113-2024 建筑施工全钢附着式升降脚手架安全技术规程
- 反诈知识竞赛题库及答案(共286题)
- 中华民族共同体概论课件专家版6第六讲 五胡入华与中华民族大交融(魏晋南北朝)
- 地下车库建筑结构设计土木工程毕业设计
- 卸船机差动减速箱简介培训
- 2021年整理第吉尔6600-91操作手册
评论
0/150
提交评论