下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、仅供个人参考不得用于商业用途图形和 VHDL 混合输入的电路设计一、实验目的1、 学习在 QUARTUSII 软件中模块符号文件的生成与调用。2、 掌握模块符号与模块符号之间的连线规则与方法。3、 掌握从设计文件到模块符号的创建过程。For pers onal use only in study and research; not for commercial use二、 实验原理在层次化的设计文件中,经常需要将已经设计好的工程文件生成一个模块符 号文件作为自己的功能模块符号在顶层调用,该符号就像图形设计文件中的任何其它宏功能符号一样可被高层设计重复调用。本实验的实验原理就是将前面设计的实验三
2、、 四、五通过 QUARTUSII 软件合并成一个设计文件。实现实验三、 四、五中的所有功能。三、 实验内容本实验要求完成的任务与实验三、四、五的实验内容基本一致。在实验中, 时钟信号选取 10KHZ 做为数码管的扫描时钟,拨动开关输入一个预置的十二位 数据,经过数控分频电路(实验五)分频后得到一个较低的频率做为加法计数器(实验三)的时钟频率进行计数器的加法运算。得到的值给数码显示译码电路(实 验四)在数码管上显示出来。实验箱中的数字时钟模块、拨动开关、按键开关、 数码管、LED 与 FPGA 的接口电路,以及拨动开关、按键开关、数码管、LED 与 FPGA 的管脚连接在实验三、四、五中都做了
3、详细说明,这里不在赘述。四、 实验步骤1、 打开 QUARTUSII 软件,新建一个工程。2、 将以前编写的实验三、四、五的源程序代码复制到当前工作目录下保存起来。3、 选择 FileOpen 命令,如图 6-1 所示,打开复制到当前工作目录下和其中 源程序代码:四分频:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entityfpin4 is port(clk: in std_logic;fp4 : out std_logic); end;architecture a of fpin4
4、issignal zq: std_logic_vector(1 downto 0); begin仅供个人参考不得用于商业用途process(clk) beginif clkevent and clk=1 then zq=zq+1;if zq=11 then fp4=1; else fp4=0; end if;end if;end process;end; 八分频: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity fpin8 is port(clk: in std_logic;fp
5、8 : out std_logic); end;architecture a of fpin8 issignal zq: std_logic_vector(2 downto 0); beginprocess(clk) beginif clkevent and clk=1 then zq=zq+1;if zq=111 then fp8=1;else fp8=0;end if;end if;end process;end; 数码管显示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity
6、 mux41 is port(q0,q1,q2,q3: in std_logic;s:in std_logic_vector (1 downto 0); y : out std_logic);end; architecture a of mux41 isbegin process (q0,q1,q2,q3,s) beginif s=00 then y=q3;elsif s=01 then y=q2;elsif s=10 then y=q1; else y=q0;end if;end process;end; 点亮数码管的八个二极管。 library ieee; use ieee.std_log
7、ic_1164.all; useieee.std_logic_unsigned.all; entity cnt8 is port(clk: in std_logic;q : out std_logic_vector(7 downto 0); s:out std_logic);end ; architecture a of cnt8 issignal zq: std_logic_vector(2 downto 0); beginprocess(clk)beginif clkevent and clk=1 thenif zq=111 then zq=000;s=1; else zq=zq+1;s
8、q q q q q q q qnull;end case;end if;end process;end;控制位选信号:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt4 isport(clk: in std_logic;q : out std_logic_vector(1 downto 0);end ;architecture a of cnt4 issignal zq : std_logic_vector(1 downto 0);beginprocess(clk)begini
9、f clkevent and clk=1 thenif zq=11 then zq=00;else zq=zq+1;end if;end if;end process;q=zq;end;4、设计图形文件。仅供个人参考不得用于商业用途-阿町卩ZSi|iTo|FWJ15F1NJ11HNJ8F1NJ15FIN邛FWF11FINV6HNJ13F1NF1JFIN站F1NJ;ANASF1N_G8PiTYninirFIN-弔pi?mTjr HjrFINE9rinj.;二m -FIN53elk1出那1呗|daip0(5)引脚分配4 Crclaru IT: EF235F4a4CB国-”務EDA31M脚dII 3
10、砂他CMJPS=丁KHsmed: |Q耳iiT e:a-dw色FiE:評|閔加1_1毗:|Nodule| Trortss % Full LonpiL&tioiiDEO %Analysis & Sjmjihess3IDO ftFiner1(X1 %Ataenblerioo %Ti“佃电iW筑EDA HbUist WriterICO %Nwwed- 时1 XlV |F1M F0Fhi:Fiis:dljJrjoda roameDlrKtlonLcKabonUOter*.1elkInpdtP1NJ.Z_BZJN2oodtCkZpdtPN_E93BJNL3dallIfipdtPIN_A1
11、84B4JNI斗daaIOP3N_H7|3B3JN耳diSa9Ihpd!PJN_A174B4JN爲吕4:占BIftputPJN_Ft+4出JN7dota7InpiJ;PJN_F134B4JNd知囿InpqjtPN_A164B4JH卒d5r-p *PJN_Ftl3B3JHI10ddti4IhpdtPJN_A15斗申UN11d如npljtHhLSlSHjH12da2InpiPN F9匸B3JH13datal4開JM14d知0Lp.tP1MJ154申LNi15阴罔OutputPlNjq2豔 林16阴JJoutputP1N.G3BZ.M17d0QdtputP1N G5zBZ_Nl16ledag6dtp
12、 LitP3NJ4厂EZJN19ledag5QdtputMNJifiZB?JN20ledag4OutpiZPJN_H5kBZJNF21led旳3OutpdLPJN_mzBZjNF22曲刘2OutputPJN_H3zBZJN23cxApUrPJN_G7B3JN24led的0o.巾|上PJN_KJHT25retiFtpPN F8|Errt26new node1 門飞速土昼iS土!(6)功能仿真仅供个人参考不得用于商业用途五、实验现象与结果以设计的参考示例为例,当设计文件加载到目标器件后,将数字信号源模块 的时钟选择为 10KHZ,拨动八位拨动开关,使其为一个数值,则八位数码管按一 定的速率开始显示
13、“ 0-F ” ,当数码管显示 A-F 时 LED 灯 LED1 开始被点亮, 显示其它数值时熄灭。按 S1 键显示的数值又从 六、实验小结通过本次试验,学习在 QUARTUSII 软件中模块符号文件的生成与调用,掌 握模块符号与模块符号之间的连线规则与方法,掌握从设计文件到模块符号的创 建过程。虽然试验中遇到了一些小困难,最终都顺利解决,按时完成实验仅供个人用于学习、研究;不得用于商业用途For personal use only in study and research; not for commercial use.Nur fur den pers?nlichen fur Studie
14、n, Forschung, zu kommerzei Zwecken verwendet werden. 5fri4alorTofll|卿3.vhdS EDAS.bdlt AaikOL胡曰kw1*5昭号r d*.LADB)1*7-dit-ltB)r 4a.t5JHLO访丨“血C3J-data. )UtuCil-dst1Mier Tme BrEM注 I| 远WflVEEnrmlYW4氾r篮Jri_r_L_r_L_r_L_r_L_r-L_r-L_r-L_r_L_r-L_r_L_r-L_rnrM俪(JIBST: JWT顽師旳顽预HYTT7 - ili炯师YTTT师YJG环拠血冋耐T母JT痂颐站rLnLi M i i - - i i -i ; i ii i i ;r-LJ-Lr1rL1 ii iiLJL_T_OL_r_o _i i_r i_r i_r:f(imrT、】T m Y対n xt!jy c,Y hi Y tol * di Y崗Y 1 t4 Y(?Y & XrlIrtarvaftStart:19.375 r#363.2 ns349.83 ns27D.0n$120,p匹?4o a in?6D P 71
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024年企业级计算机软件使用协议版B版
- 2024年度先进生产设备采购及专业化安装服务协议版
- 2024年度农业企业社会责任报告编制与发布合同
- 2024工程劳务居间的合同
- 2024年委托开发合同:手机应用程序定制开发要求
- 2024实战型工程招投标与协议管理细则样本版B版
- 2024医疗器械销售合作协议
- 2024专业带驾车辆租赁服务协议模板版B版
- 2024年婚前协议书:关于双方职业发展和事业规划的约定
- 2024年产教深度合作教育项目校企框架合同版B版
- 中西文化鉴赏智慧树知到答案章节测试2023年郑州大学
- 九年级上册 道德与法治 延续文化血脉
- FZ/T 73002-2006针织帽
- 2021五四制新青岛版五年级科学上册20《食物链》课件
- 手术室护理质控工作计划(通用7篇)
- 云南三年级地方课程第6单元-12旖旎的滇东南风光、历史文化名城建水课件
- 2023年云上贵州大数据(集团)有限公司招聘笔试模拟试题及答案解析
- 池州东升药业有限公司核心原料药及高端医药中间体共性生产平台建设项目环境影响评价报告书
- 越南文化交流
- 医院积分制的管理细则
- PR-13 纠正与预防措施管理程序
评论
0/150
提交评论