半导体制造专业英语术语_第1页
半导体制造专业英语术语_第2页
半导体制造专业英语术语_第3页
半导体制造专业英语术语_第4页
半导体制造专业英语术语_第5页
已阅读5页,还剩67页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、A1st level packaging 第一级封装2nd level packaging 第二级封装aberration 象差/色差absorption 吸收acceleration column 加速管acceptor 受主Accumulate v. 积聚, 堆积acid 酸acoustic streaming 声学流active region 有源区activate 激活activated dopant 激活杂质active component 有源器件adsorption 吸附aerosol 悬浮颗粒air ionizer 空气电离化器alignment mark 对准标记alignm

2、ent 对准alloy 合金推荐精选alternate adj. 交替的, 轮流的, 预备的 v. 交替, 轮流, 改变aluminum 铝aluminum subtractive process 铝刻蚀工艺ambient 环境ammonia(NH3) 氨气ammonium fluoride(NH4F) 氟化氨ammonium hydroxide(NH4OH) 氢氧化氨amorphous 非晶的,无定型analog 模拟信号angstrom 埃anion 阴离子anisotropic etch profile 各向异性刻蚀剖面anneal 退火antimony(sb) 锑 antirelect

3、ive coating(ARC) 抗反射涂层APCVD 常压化学气向淀积application specific IC(ASIC) 专用集成电路aqueous solution 水溶液area array 面阵列argon(Ar) n. 化氩推荐精选arsenic(As) 砷arsine(AsH3) 砷化氢,砷烷ashing 灰化,去胶 aspect ratio 深宽比,高宽比aspect ratio dependent etching(ARDE) 与刻蚀相关的深宽比asphyxiant 窒息剂assay number 检定数atmospheric adj. 大气的atmospheric pr

4、essure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀积atomic force microscopy(AFM) 原子力显微镜atomic number 原子序数attempt n. 努力, 尝试, 企图 vt. 尝试, 企图auger electron spectroscopy(AES) 俄歇电子能谱仪autodoping 自掺杂automatic defect classification(ADC) 缺陷自动分类Bback-end of line(BEOL) (生产线)后端工序backgrind 减薄推荐精选backing film 背膜baff

5、le vt. 困惑, 阻碍, 为难(挡片)baffle assembly n. 集合, 装配, 集会, 集结, 汇编 (挡片块)ball grid array(BGA) 球栅阵列ballroom layout 舞厅式布局,超净间的布局barrel reactor 圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam current 束流beam deceleration 束流减速beam energy 离子束能量beol

6、 (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasing 电压拉偏BICMOS 双极CMOS推荐精选bincode number 分类代码号bin map 分类图bipolar junction transistor(BJT) 双极晶体管bipolar technology 双极技术(工艺)birds beak effect 鸟嘴效应blanket deposition 均厚淀积blower 增压泵 boat 舟BOE 氧化层刻蚀缓冲剂Bon voyage 法再见, 一路顺风平安bonding pads 压点bonding wire 焊线,引线boron(B) 硼bor

7、on trichloride(BCL3) 三氯化硼boron trifluoride(BF3) 三氟化硼borophosphosilicate glass(BPSG) 硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC) 下减反射涂层boule 单晶锭bracket n. 墙上凸出的托架, 括弧, 支架 v. 括在一起推荐精选breakthrough step 突破步骤,起始的干法刻蚀步骤brightfield detection 亮场检查brush scrubbing 涮洗bubbler 带鼓泡槽buffer

8、ed oxide etch(BOE) 氧化层腐蚀缓冲液bulk chemical distribution 批量化学材料配送bulk gases 大批气体bulkhead equipment layout 穿壁式设备布局bumped chip 凸点式芯片buried layer 埋层burn-box 燃烧室(或盒)burn-in 老化CCA 化学放大(胶)cantilever n. 建悬臂cantilever paddle 悬臂桨cap oxide 掩蔽氧化层capacitance 电容capacitance-voltage test(C-Vtest) 电容-电压测试capacitive co

9、upled plasma 电容偶合等离子体capacitor 电容器 推荐精选carbon tetrafluoride(CF4) 四氟化碳caros acid 3号液carrier 载流子carrier-depletion region 载流子耗尽层carrier gas 携带气体cassette (承)片架cation 阳离子caustic 腐蚀性的cavitation 超声波能 CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj. 摄氏的center of focus(COF) 焦点 焦平面center slow 中心慢速central processing unit(CPU)

10、 中央处理器ceramic substrate 陶瓷封装CERDIP 陶瓷双列直插封装Channel 沟道channel length 沟道长度channeling 沟道效应charge carrier 载流子推荐精选chase 技术夹层chelating agent 螯合剂chemical amplification(CA) 化学放大胶chemical etch mechanism 化学刻蚀机理chemical mechanical planarization(CMP) 化学机械平坦化chemical solution 化学溶液chemical vapor deposition(CVD) 化

11、学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸封装circuit geometries 电路几何尺寸class number 净化级别cleanroom 净化间cleanroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钴硅化合物推荐精选coefficient n. 数系数Coefficient of

12、thermal expansion(CTE) 热涨系数Coherence probe microscope 相干探测显微镜Coherent light 相干光coil v. 盘绕, 卷Cold wall 冷壁Collector 集电极 Collimated light 平行光Collimated sputtering 准直溅射Compensate v. 偿还, 补偿, 付报酬Compound semiconductor 化合物半导体Concentration 浓度Condensation 浓缩Conductor 导体constantly adv. 不变地, 经常地, 坚持不懈地Confocal

13、 microscope 共聚焦显微镜Conformal step coverage 共型台阶覆盖Contact 接触(孔)Contact alignment 接触式对准(光刻)Contact angle meter 接触角度仪Contamination 沾污、污染推荐精选conti boat 连柱舟conticaster 冶连铸机Continuous spray develop 连续喷雾显影Contour maps 包络图、等位图、等值图Contrast 对比度、反差contribution n. 捐献, 贡献, 投稿Conventional-line photoresist 常规I线光刻胶C

14、ooks theory 库克理论Copper CVD 铜CVDCopper interconnect 铜互连Cost of ownership(COO) 业主总成本Covalent bond 共价键Critical dimension 关键尺寸Cryogenic aerosol cleaning 冷凝浮质清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶体Crystal activation 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orientation 晶向推荐精选CT

15、E 热涨系数Current-driven current amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamascene 大马士革工艺darkfiled detection 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解, (使)腐烂deep UV(DUV) 深紫外光default n. 默认(值), 缺省(值), 食言, 不履行责任, 律缺席 v. 疏怠职责, 缺席, 拖欠, 默认defe

16、cts density 缺陷密度defect 缺陷 deglaze 漂氧化层degree of planarity(DP) 平整度dehydration bake 去湿烘培,脱水烘培推荐精选density 密度deplention mode 耗尽型degree of focus 焦深deposit n. 堆积物, 沉淀物, 存款, 押金, 保证金, 存放物 vt. 存放, 堆积 vi. 沉淀deposition 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorption

17、 解吸附作用develop inspect 显影检查development 显影developer 显影液deviation n. 背离device isolation 器件隔离device technology 器件工艺DI water 去离子水Diameter n. 直径diameter grinding 磨边diborane (B2H6)乙硼烷 推荐精选dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列 die attach 粘片die-by-die alignment 逐个芯片对准dielectric 介质dielectric const

18、ant 介电常数die matrix 芯片阵列die separation 分片diffraction 衍射diffraction-limited optics 限制衍射镜片diffusion 扩散diffusion controlled 受控扩散digital/analog 数字/模拟digital circuitdiluentdirect chip attach( DCA)directionalitydiscretedishingdislocationdissolution rate 推荐精选dissolution rate monitor(DRM) 溶解率监测DNQ-novolak 重氮

19、柰醌酚醛树脂Donor 施主dopant profile 掺杂刨面)doped region 掺杂区doping 掺杂dose monitor 剂量检测仪dose,Q 剂量downstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mechanical pump 干式机械泵dry oxidation 干法氧化dummy n. 哑巴, 傀儡, 假人, 假货 adj. 虚拟的, 假的, 虚构的 n. 计 哑元dynamic adj. 动力的, 动力学的, 动态的Eeconomies of scale 规模经济edge bead remova

20、l 边缘去胶edge die 边缘芯片推荐精选edge exclusion 无效边缘区域electrically erasable PROM电可擦除EPROMelectrode 电极electromigration 电迁徙electron beam lithography 电子束光刻electron cyclotron resonance 电子共振回旋加速器electron shower 电子簇射,电子喷淋electron stopping 电子阻止electronic wafer map 硅片上电性能分布图electroplating 电镀electropolishing 电解抛光elect

21、rostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极endpoint detection 终点检测engineering n. 工程(学)electrostatic discharge(EDX) 能量弥散谱仪enhancement mode 增强型epi 外延epitaxial layer 外延层推荐精选epoxy underfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器erosion 腐蚀,浸蚀establish vt. 建立, 设立, 安置,

22、使定居, 使人民接受, 确定 v. 建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch uniformity 刻蚀均匀性etchant 刻蚀剂etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporation 蒸发even adj. 平的, 平滑的, 偶数的, 一致的, 平静的, 恰好的, 平均的, 连贯的 adv. 加强语气甚至(.也), 连.都, 即使, 恰好, 正当 vt. 使平坦, 使

23、相等 vi. 变平, 相等 n. 偶数, 偶校验exceed vt. 超越, 胜过 vi. 超过其他推荐精选excimer laser 准分之激光exposal n. 曝光, 显露exposure 曝光exposure dose 曝光量extraction electrode 吸极extreme UV 极紫外线extrinsic silicon 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n. 因素, 要素, 因数, 代理人fast ramp furnaces 快速升降温炉fault model 失效模式FCC diamond 面心立方

24、金刚石feature size 特征尺寸FEOL 前工序Ficks laws FICK定律field-effect transistor 场效应晶体管field oxide 场氧化field-by-field alignment 逐场对准推荐精选field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packaging 最终装配和封装final test 终测first interlayer dielectric(ILD-1)第一层层间介质fixed oxide charge 固定氧化物电荷flats

25、定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal length 焦距focal plane 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束 footprint 占地面积formula n. 公式, 规则, 客套语forward bias 正偏压four-point probe 四探针frenkel defect Frenkel缺陷 推荐精选front-opening unified pod(FOUP) 前开口盒functional test 功能测试furn

26、ace flat zone 恒温区Gg-line G线gallium(Ga) 镓gallium arsenide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas manifold 气瓶集装gas phase nucleation 气相成核gas purge 气体冲洗gas throughput 气体产量gate 栅gate oxide 栅氧化硅gate oxide integrity 栅氧完整性germanium(Ge) 锗getter 俘获glass 玻璃glazing 光滑表面推荐精选global alignment 全局对准global pla

27、narization 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grown oxide layer 热氧化生长氧化层H Halogen 卤素hardbake 坚膜hardware n. 五金器具, (电脑的)硬件, (电子仪器的)部件HEPA filter 高效过滤器hermetic sealing 密封heteroepitaxy 异质外延heterogeneous reaction 异质反应hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HD

28、PCVD) 高密度等离子体化学气相淀积high-density plasma etch 高密度等离子刻蚀high-pressure oxidation 高压氧化推荐精选high-temperature diffusion furnace 高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵hillock 小丘(铝)尖刺homoepitaxy 同质外延homogeneous reaction 同质反应horizontal adj. 地平线的, 水平的horizontal furnace 卧式炉hot electron 热电子hot wall 热壁hydrochlo

29、ric acid(HCL) 盐酸hydrofluoric acid(HF) 氢氟酸hydrogen(H2) 氢气hydrogen chloride(HCL) 氯化氢hydrogen peroxide(H2O2) 双氧水hydeophilic 亲水性hydrophobic憎水性,疏水性hyperfiltration 超过滤Ii-line I线IC packaging 集成电路封装推荐精选IC reliability 集成电路可靠性Iddq testing 静态漏电流测试image resolution 图象清晰度 图象分解力implant v. 灌输(注入)impurity 杂质incremen

30、t n. 增加, 增量initial adj. 最初的, 词首的, 初始的 n. 词首大写字母in situ measurements 在线测量index of refraction 折射率indium 铟inductively coupled plasma(ICP) 电感耦合等离子体inert gas 惰性气体infrared interference 红外干涉ingot 锭ink mark 墨水标识in-line parametric test 在线参数测试input/output(I/O)pin 输入/输出管脚institute n. 学会, 学院, 协会 vt. 创立, 开始, 制定,

31、 开始(调查), 提起(诉讼)insulator 绝缘体推荐精选integrate vt. 使成整体, 使一体化, 求.的积分 v.结合integrated circuit(IC)集成电路integrated measurement tool 集成电路测量仪interval n. 间隔, 距离, 幕间休息 n. 时间间隔interconnect 互连interconnect delay 互连连线延迟interface-trapped charge 界面陷阱电荷interferometer 干涉仪interlayer dielectric(ILD) 层间介质interstitial 间隙(原子)

32、intrinsic silicon 本征硅invoke v. 调用ion 离子ion analyzer 离子分析仪ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀ion implantation 离子注入ion implantation damage 离子注入损伤ion implantation doping 离子注入掺杂ion implanter 离子注入机ion projection lithography(IPL) 离子投影机推荐精选ionization 离子化ionized metal plasma PVD 离子化金属等离子PVDI

33、PA vapor dry 异丙醇气相干燥 isolation regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT 结型场效应管junction(pn) PN结junction depth 结深junction spiking 结尖刺 KKelvin 绝对温度killer defect 致命缺陷kinetically controlled reaction 功能控制效应Llaminar air flow 层状空气流,层流式lapping 抛光latchup 闩锁效应lateral diffusion 横向扩散law of reflection 反射定律

34、LDD 轻掺杂漏Leadframe 引线框架推荐精选leakage cuttent 漏电流len 透镜lens compaction 透镜收缩light 光light intensity 光强light scattering 光散射lightly doped drain(LDD) 轻掺杂漏linear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区linewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect 负载效应loadlock 真空锁local interconnect

35、(LI) 局部互连local planarization 局部平坦化local oxidation of silicon(LOCOS) 硅局部氧化隔离法logic 逻辑lot 批推荐精选low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积LSI 大规模集成电路Mmagnetic CZ(MCZ)磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE) 磁增强反应离子刻蚀magnetron sputtering 磁控溅射Magnification n. 扩大, 放大倍率magnificent adj. 华丽的

36、, 高尚的, 宏伟的majority carrier 多子make-up loop 补偿循环mask 掩膜版 n. 面具, 掩饰, 石膏面像 vt. 戴面具, 掩饰, 使模糊 vi. 化装, 戴面具, 掩饰, 参加化装舞会mask-programmable gate array 掩膜可编程门阵列mass flow controller(MFC) 质量流量计mass spectrometer 质谱仪mass-transport limited reaction 质量传输限制效应mathematical adj. 数学的, 精确的mean free path(MFP) 平均自由程medium va

37、cuum 中真空推荐精选megasonic cleaning 超声清洗melt 熔融membrane contactor 薄膜接触器,隔膜接触器membrane filter 薄膜过滤器,隔膜过滤器merchant n. 商人, 批发商, 贸易商, 店主 adj. 商业的, 商人的mercury arc lamp 汞灯MESFET 用在砷化镓结型场效应晶体管中的金属栅metal contact 金属接触孔metal impurities 金属杂质metal stack 复合金属,金属堆叠metallization 金属化metalorganic CVD 金属有机化学气相淀积metrology

38、度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloading 微负载,与刻蚀相关的深宽比micron 微米microprocessor n. 计微处理器microprocessor unit 微处理器推荐精选microroughness 微粗糙度Miller indices 密勒指数minienvironment 微环境minimum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ionic contaminants(MIC)可动离子沾污 mobile ox

39、ide charge 可动氧化层电荷module n. 模数, 模块, 登月舱, 指令舱modify vt. 更改, 修改 v. 修改molecular beam epitaxy (MBE) 分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,样片monocrystal 单晶monolithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor curreant endpoint 电机电流终点检测(法)MSI 中规模集成电路Multiplier n.

40、 增加者, 繁殖者, 乘数, 增效器, 乘法器推荐精选multichip module(MCM) 多芯片模式multilenel metallization 多重金属化Murphy's model 墨菲模型Nnanometer(nm) 纳米native oxide 自然氧化层n-channel MOSFET n沟道MOSFETnegatine resist 负性光刻胶negative n. 否定, 负数, 底片 adj. 否定的, 消极的, 负的, 阴性的 vt. 否定, 拒绝(接受)negatine resist development 负性光刻胶显影neutral beam tra

41、p 中性束陷阱next-generation lithography 下一代光刻技术nitric acid(HNO3) 硝酸nitrogen(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管noncritical layer 非关键层nonvolatile memory 非挥发性存储器normality 归一化推荐精选notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管)n-type silicon n型硅nuclear stopping 离子终止nucle

42、ation 成核现象,晶核形成nuclei coalescence 核合并numerical aperture(NA) 数值孔径n-well n阱Oobjective (显微镜的)物镜off-axis illumination(OAI) 偏轴式曝光,离轴式曝光ohmic contact 欧姆接触op amp 运算放大器optical interferometry endpoint 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correction(OPC)

43、 光学临近修正optical pyrometer 光学高温计optics 光学organic compound 有机化合物推荐精选out-diffusion 反扩散outgassing 除气作用overdrive 过压力overetch step 过刻蚀overflow rinser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registration 套刻对准oxidation 氧化oxidation-induced stacking faults(OISF) 氧化诱生层积缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜ox

44、idezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozone(O3) 臭氧Ppackage 封装管壳pad conditioning 垫修整pad oxide 垫氧化膜paddle 悬臂 n. 短桨, 划桨, 明轮翼 vi. 划桨, 戏水, 涉水 vt. 用桨划, 搅, 拌推荐精选parabolic stage 抛物线阶段parallel-plate(planar)reactor 平板反应parallel testing 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacitance 寄生电容

45、parasitic resistance 寄生电阻parasitic transistor 寄生电阻器partial pressure 分压particle density 颗粒密度particle per wafer per pass(PWP) 每步每片上的颗粒数passivation 钝化passivation layer 钝化层passive components 无源元件pattern sensitivity 图形灵敏性patterned etching 图形刻蚀pattern wafer 带图形硅片patterning 图形转移,图形成型,刻印pc board 印刷电路版推荐精选p-

46、channel MOSFET p沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pentavalent 五价元素perform vt. 履行, 执行, 表演, 演出 v. 完成任务performing adj. 表演的, 履行的perimete array 周边阵列式(封装)pH scale pH值phase-shift mask(PSM) 相移掩膜技术phosphine(PH3) 磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷phosphorus oxychlorid

47、e(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid generator(PAG) 光酸产生剂photoacoustics 光声的photoactive compound(PAC) 感光化合物photography n. 摄影, 摄影术 光刻推荐精选photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripping 去胶、光刻胶去除physical etch mechanism 物理刻蚀机理physical vapor deposition(PVD) 物理气相淀积

48、pigtail 引出头pin grid array(PGA) 针栅阵列式(封装)pinhole 针孔piranha 3号液pitch 间距planar 平面planar capacitor 平面电容planar process 平面工艺planarization 平坦化plasma 等离子体 n. 解血浆, 乳浆,物等离子体,等离子区plasma-based dry cleaning 等离子体干法清洗plasma electron flood 等离子电子流plasma enhanced CVD(PECVD) 等离子体增强CVDplasma etch 等离子体刻蚀推荐精选plasma-induc

49、ed damage 等离子体诱导损伤plasma potential distribution 等离子体势分布plastic dual in-line package(DIP) 双列直插塑料封装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packaging 塑料封装plug 塞,填充vt. 堵, 塞, 插上, 插栓 n 塞子, 插头, 插销pMOS(p-channel) p沟道MOSpn junction diode pn 结型二极管pnp pnp 型三极管point defect 点缺陷Poisson's model 泊松模型p

50、olarization 极化,偏振polarized light 极化光,偏振光polish 抛光polish rate 抛光速率polished wafer edge(edge grind) 倒角polishing loop 磨抛循环polishing pad 抛光(衬)垫polycide 多晶硅化物polycrystal 多晶推荐精选polymer n. 聚合体polymer formation 聚合物方程式polymerization 聚合作用polysilicon 多晶硅polysilicon gate 多晶硅栅portion n. 一部分, 一分positive lithograph

51、y 正性光刻positive resist 正性光刻胶positive resist development 正性光刻胶显影post-develop inspection 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphization 预非晶化precursor 先驱物predeposition 预淀积premetal dielectric(PMD) 金属前介质preston equation Preston方程primary orientation flat 主定位边print bias光刻涨缩量推荐精选printed circuit boade(PCB) 印刷电路板probe 探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control monitor(PCM) 工艺控制监测(

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论