强烈推荐】基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告_第1页
强烈推荐】基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告_第2页
强烈推荐】基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告_第3页
强烈推荐】基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告_第4页
强烈推荐】基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、(此文档为word格式,下载后您可任意编辑修改!)专业课程设计报告课设名称:基于EDA的FPGA实验开发 板的设计24目录:1、设计任务及内容2、系统硬件设计(要求对系统每部分电路进行介绍)3、系统软件设计(画出程序流程图)4、系统调试5、课程设计总结6、参考文献7、附录(系统硬件原理图以及程序代码)一:设计任务及内容设计任务: 基于EDA的FPGA的实验开发板的设计与程序调 试。设计内容: 开发板底板和核心板原理图以及 PCB的设计,程 序的编写与下载调试。二:系统硬件设计核心板部分1、电源部分:2、通过5V直流供电,经过电容滤波蜂鸣器部分:VCC 5VEXI ion?R32Q110KLSI

2、蜂鸣器=GND通过三极管驱动蜂鸣器,可以播放一些简单的音乐 3、 PS2键盘:VCC穴可以用作一般通讯目的或者嵌入式系统和片上系统调试GNDGND1602-12864LCD 液 晶屏接口LCD模块接口,显示点阵为128x64; 种为T6963 控制器的LCD模块5、串口:RS232 RXIoi2RS232_TX 10:43C14HHDJuF11 To串口口宁TODChvccC2+C2-TIINT1OUTT2INT20UTRtOUTR11NR20UTR2INGNDVEE156MAX232ACTGND数据通信,提高数据传输速度6、VGA部分:VGA1510:125 FM14HSYLEDG3 131

3、21110L4958令ULIO.luFO.luFR31R29R30VGAGXDVGA接口就是显卡上输出模拟信号的接口C13HFO.luTO=o oDoo1COM|iGND11LEDGO10:7LEDG110:9It 7LEDG210:1447、 步进电机部分:BJDJU81 2 3 4 5 6 7 INININININTNJNOUT1OUT2OUT3OUT4OUT5OUT60UT71615Un辽W4321COMGNDULN2003AVCC 5V步进电机通过编写程序可以控制步进电机的转速8、LED灯部分:10:144LEDGO|R7D211J IK1D310:9I.EDG1|R12I10:71L

4、EDG2|1KR131D4IIG:SLEDG3|R141 11D51LED灯GND可以通过vhdl语言编程来控制发光二极管按顺序依次点亮9、按键部分:0:18卜111K按键S3O人机交换部分,更加智能与方便 10、数码管部分:11、双排底座:GNDSM CS?nLEDG;LEDGO咖FX11 234367S91011 1213 14 1$17 1S19 2021 22SM CMLEDG3LEDG1SM CS4dCSSuSM3SMISM7J4SW31 2SW2swo-SWEtS232 RXaRS232 TXPS2 DATAPS2 CLKRWJag io11 1 ?RS32864 CS1EN128

5、64 CS211i止BJ CAT SDA1J 14 r 历 17 16 19 20 F11TAT SCIRJ BBJ ABJ D1R_LVCC 5VVCC5V-GND与核心板的链接部分核心板部分1、晶振部分:GND-3.3V3 1090Y1*二 E0104VCCOUTNC GKD50MHZGNDQSD为芯片提供时钟信号2、排针部分VCC SV248_3MI心尊gayie73T5?TS*11L3ij? 0*3LfLtiJ OILI*47Q43K2dr(ML2L1022222JrO2424iffila2JTCH住102 2?1023-a.av対roao3Q1031JL0323233KDJ43S北3

6、0 皿DO 3O&CS.O KLYlK.Ljp ELTK.LSb. VCOOlTEO TUS. TOC TH DiATAaK1X =CECUOC1XLC.COXFMC1X2 cua VCCJOlSKD_F1J-V-日 vccB plH 竺D丙丄远d一熬“-一 亠一口症左SO OuFU-2 KDLCIjrKD:Ol2-3.3 VLOLjOLJLmo:iOLtlO99:-oas购rKDfl-?7 05百SJ-3JV545 034:-09 J92JM25 031:-09 ClJ 039:-OSSa?JOS-噩心芒3JssXS3-4KD3JCO5T_DO32FijATrT3L701的joatirKD7

7、7-a.av?畑百JOTj-4y(r473JW3aeaaeaeaaaeaaaeaeasstelaeasn 匸二_一 Arm -cr:v_ -gH_ _=- - m = * 口王 云 _=_:=- 6W-IGsu 規KE-iES f a urE K一E_ U mE p:rFlD Km_ fh-im- F:一r-k- KW = rWC!E二 FMFkhrl- r-FC-HES F -n- K;:三 14 十 M L- KizHES KZHI:v_ 二 K E_;:=- 恳 一E_ M -E二 Kirrur_ m-J i 門-=-A-11-:二1-二 AX-:X:1-: -:C-u=-:FPGA核心

8、部分,控制各模块的工作三:系统软件设计设计准备功能仿真设计输入 原理图 硬件捕述语言 波形图合刘线 粽分布 % 勺 化配局器件编稈四:系统调试软件仿真:利用quartus2软件将编写好的程序进行时序仿真,得到波形图如下FT StirmmMOD Flow SfttingSiHulator占BO S-urrmar ,T竹| Sfflinga 画暫 S-ifflUlafll 4 Smultflii fin 11-41 Uu 夕 爭MsagwbrmMDn WweWnnsSbBalil44bTaai-hf五课程设计总结通过这次课程设计,让我们学会了如何应用AD6这款软件进行原理图与PCB的绘制,期间遇到

9、了很多困难,不过通过查 询资料和咨询老师,我们最后还是能够基本上完成了任务。通 过这次课设,学会了很多东西,比如如何自己利用AD6绘制一个元器件,怎么利用 AD6进行PCB正方面的设计,怎么布铜等 等。当然只是通过这次课设我们也学会了团队合作的重要性, 组员之间分工明确,使得任务完成的更加轻松。总之,这次可 是让我们受益匪浅,感谢学校给我们这次机会,更加感谢刘老 师的悉心指导! 六参考文献1、sopc-eda实验指导书2、阎石.数字电子技术基础.北京:高等教育出版社,20063、AD6使用指导4、FPGA开发板使用说明书附录:底板原理图与PCB核心板原理图与PCB-十3:59909程序代码:l

10、ibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY seg70 ISPORT (elk:IN std_logie;rst:IN std_logie;dataout:OUT std_logie_veetor(7 DOWNTO 0);-各段数据输出en:OUT stdo gic_vector(7 DOWNTO 0); -COM使能输出END seg70;ARCHITECTURE arch OF seg70 ISsig nal cn t_

11、sca n : std_logic_vector(15 dow nto 0 );sig nal data4 : std_logic_vector(3 dow nto 0);sig nal dataout_xhdl1 : std_logic_vector(7 dow nto 0);sig nal en _xhdl : std_logic_vector(7 dow nto 0); begindataout=dataout_xhdl1;en=en _xhdl;process(clk,rst)beginif(rst=0)the nelsif(clkevent and clk=1)thencn t_sca n data4WHEN 0001=WHEN 001

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论