(测试计量技术及仪器专业论文)1394vxi零槽资源管理器的硬件设计.pdf_第1页
(测试计量技术及仪器专业论文)1394vxi零槽资源管理器的硬件设计.pdf_第2页
(测试计量技术及仪器专业论文)1394vxi零槽资源管理器的硬件设计.pdf_第3页
(测试计量技术及仪器专业论文)1394vxi零槽资源管理器的硬件设计.pdf_第4页
(测试计量技术及仪器专业论文)1394vxi零槽资源管理器的硬件设计.pdf_第5页
已阅读5页,还剩60页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子科技丈学硕士论文 捅费 , ( e e e l 3 9 4 作为一种高速串行通信标准,不仅可以实现高传输速率,还具有带 宽容量高,热插拔和总线动态配置等优点,特别适合于高速计算机外设( 如扫描 仪、消费类视听设备等) 以及军用的实时信息传输( 如战地通信,导航等) 。q 本文将1 3 9 4 总线技术用于v x i 总线系统,开发了一种v x i 外接式控制机一 1 3 9 4 一v x i 零槽资源管理器,通过l 3 9 4 接口实现了p c 机与v x i 仪器模块之间的 数据传输,从而提高了v x i 测试系统的性能,降低了成本。 , ( 1 3 9 4 一v x i 转换器是实现i e e e l 3 9 4 总线与v x i 总线协议交互的v x i 零槽资源 管理器模块。从1 3 9 4 一v x i 转换器的功能出发,在电子科技大学c a t 实验室成功 开发g p i b v x i 转换器的基础上,采用m c 6 8 0 3 0 作为系统处理器,v x i 总线与本 地总线之间的转换通过v x l b u s 接口控制电路实现;本地总线与1 3 9 4 总线之间的 转换通过1 3 9 4 链路层和物理层接口实现;v x l b u s 地址译码控制电路提供本地存 储器映象译码和v x l b u s 存储器映象译码,并与v x i b u s 接口控制电路相互配合, 共同实现全面的v x i b u s 主者从者接口功能;采用c p l d 等可编程电路技术,实 现了1 3 9 4 一v x l 转换器地址译码,以及模块识别、i o m h z 时钟发生器和t t l 触发 电路等v x i b u s 系统所需的仪器公用总线。在1 3 9 4o h c i 寄存器映射机制和d m a 传输机制的基础上,采用1 3 9 4 异步传输方式和公平仲裁协议,以w i n d r i v e r 为 开发工具进行1 3 9 4o h c i 程序开发,实现了1 3 9 4 总线的一系列总线操作功能j 、 本文详细介绍了1 3 9 4 串彳亍总线和1 3 9 4 开放式主机接口的相关协议,重点讨 论了1 3 9 4 一v x i 零槽资源管理器的硬件设计和1 3 9 4o h c i 程序设计。其中硬件设 计主要包括v x i 接口控制电路、1 3 9 4 接口电路、地址译码控制电路、模块识别 电路、t t l 触发电路等:1 3 9 4 0 h c i 程序设计包括总线配置检测、物理层状态传送、 异步数据包的发送和接收的实现。本文同时也提出了作者在软硬件调试过程中的 一些体会和理解 关键词1 3 9 4 总线,v x i 总线,o h c i ,零槽资源管理器 电子科技大学硕士论文 a b s t r a c t 舡ah i g h s p e e ds e r i a lb u s s p e c i f i c a t i o n i e e e l 3 9 4n o to n l yr e a l i z e sh i g h t r a n s f e rr a t e s ,b u ta l s oh a sm a n yb e n e f i t ss u c ha s h i g hb a n d w i d t hc a p a c i t y ,h o t p l u g g i n ga n db u sd y n a m i cc o n f i g u r a t i o n ,e s p e c i a l l ys u i t sf o rh i g h s p e e dc o m p u t e r p e r i p h e r a l ss u c ha ss c a n n e r s ,a n dc o m s u m e ra u d i o - v i d e oe l e c t r o n i c s ,a n dm i l i t a r y r e a lt i m ei n f o r m a t i o nt r a n s f e rf i e l ds u c ha ss t r a t e g i cc o m m u n i c a t i o n ,n a v i g a t i o n u s i n g1 e e e 1 3 9 4f o rv x ic o n t r o l ,t h e p a p e rd e v e l o p e d 1 3 9 4 一v x is l o t0 r e s o u r c em a n a g e r ,d a t at r a n s f e rb e t w e e nc o m p u t e ra n dv x ii n s t r u m e n tm o d u l ei s r e a l i z e db y13 9 4i n t e r f a c e s 0i m p r o v e dt h ev x im e a s u r es y s t e mp e r f o r n l a n c ea n d l o w e r e di t sc o s t 1 3 9 4 一v x ic o n v e r t e ri st h es l o t0r e s o u r c em a n a g e rm o d u l ew h i c hr e a l i z e st l l e i n t e r f a c eb e t w e e ni e e ei3 9 4b u sa n dv x l b u s a c c o r d i n gt o t h ef u n c t i o no ft h e 13 9 4 - v x i c o n v e r t e r ,o nt h eb a s eo f c a tl a b u e s t st h es u c c e s s f u ld e v e l o p m e n to f g p i b v x ic o n v e r t e r ,t h ep r o j e c tu s em c 6 8 0 3 0a ss y s t e mp r o c e s s o r ,t h et r a n s f e r b e t w e e nv x i b u sa n dl o c a lb u si sr e a l i z e db yv i c :t h et r a n s f e rb e t w e e nl o c a lb u sa n d 1 3 9 4 b u si sr e a l i z e d b y 1 3 9 4l i n k l a y e ra n dp h y s i c a ll a y e r ;v a cp r o v i d e sl o c a l m e m o r y a n dv x l b u sm e m o r y m a p p i n gd e c o d e ,a n d r e a l i z e st h em a s t e s l a v ei n t e r f a c e f u c t i o no fv x i b u sw i t hv i c a d d r e s sd e c o d i n g ,m o d u l ei d e n t i f i c a t i o na n d t t l t r i g g e ri s r e a l i z e db yp r o g r a m m a b l ec i r c u i tt e c h n o l o g ys u c ha sc p l d o nt h e b a s eo f1 3 9 4o h c ir e g i s t e rm a p p i n ga n dd m a e n g i n e s ,u s i n g1 3 9 4a s y n c h r o n o u s d a t at r a n s f e ra n df a i r n e s sa r b i t r a t i o np r o t o c o l ,13 9 4 b u so p e r a t i o nf u c t i o ni sr e a l i z e d b y 1 3 9 4o h c i p r o g r a md e v e l o p e d w i t hw i n d r i v e r t h e p a p e r i n t r o d u c e dc o r r e l a t i v ep r o t o c o li ni e e e l 3 9 4a n d1 3 9 4o h c ii nd e t a i l , a n de m p h a t i c a l l yd i s c u s s e dt h eh a r d w a r ed e s i g na n d1 3 9 4o h c ip r o g r a md e s i g no f t h e1 3 9 4 一v x ic o n v e r t e rt h eh a r d w a r ei n c l u d ev x ii n t e r f a c ec o n t r o l l e rc i r c u i t ,13 9 4 i n t e r f a c ec i r c u i t ,a d d r e s sc o d i n gc i r c u i t ,m o d i dc i r c u i ta n dt t l t r i g g e rc i r c u i te t c 1 3 9 4o h c i p r o g r a mr e a l i z e dt h e t e s to f1 3 9 4 b u sc o n f i g u r a t i o n ,t h es t a t u st r a n s f e ro f p h y s i c a ll a y e r ,t h et r a n s m i s s i o na n dr e c e p t i o no f13 9 4a s y n c h r o n o u sp a c k e t s a n d s o m ei d e aa n de x p e r i e n c ed e v e l o p e db yt h ea u t h o ri n t h ec o u r s eo fc i r c u i ta n d p r o g r a md e b u g g i n g a r ed i s c u s s e d k e y w o r d s :i3 9 4 b u s ,v x i b u s ,o h c i ,s l o t 0r e s o u r c e m a n a g e r 独创性声明 本人声明所呈交的学位论文是本人在导师指导下进行的研究工作及取得的 研究成果。具我所知,除了文中特别加以标注和致谢的地方外,论文中不包含 其他人已经发表或撰写过的研究成果,也不包含为获得电子科技大学或其它教 育机构的学位或证书而使用过的材料。与我一同工作的同志对本研究所做的任 何贡献均已在论文中作了明确的说明并表示谢意。 签名: 童! l 亟:!日期:2 一。1 年;月 日 关于论文使用授权的说明 本学位论文作者完全了解电子科技大学有关保留、使用学位论文的规定, 有权保留并向国家有关部门或机构送交论文的复印件和磁盘,允许论文被查阅 和借阅。本人授权电子科技大学可以将学位论文的全部或部分内容编入有关数 据库进行检索,可以采用影印、缩印或扫描等复制手段保存、汇编学位论文。 ( 保密的学位论文在解秘后应遵守此规定) 签名: 纠日,1 导师签名:c ) 价彩 日期。抄萨多月p 电子科技大学硕士论文 第一章引言 1 1 v x l 总线简介 随着计算机技术的发展,f o 接口标准的发展日新月异,p c 机与外设的通 信速度越来越快,但长期以来,惠普公司的h p i b 标准以及按照该标准制定的 i e e e 4 8 8 标准一直作为仪器连接接口,其发展远远落后于其他i o 接口,进入 八十年代,g p i b 总线已经不能满足现代测试的高速度、高可靠性等方面的要求。 1 9 8 7 年,由美国几家大仪器公司联合制定了v x 总线标准规范,其中最后一个 版本,即v x i b u sr e v 【4 全面叙述了v x 总线的电气特性,通讯规程和硬件设 计标准,成为一种在全世界范围内完全开放的仪器行业总线标准。v x i 系统在 十年时间里,以其强大的生命力,得到了迅速的发展。v x i 总线是一种适用于 模块化仪器系统的标准总线和开放结构,是v i d e 总线在仪器领域的扩展( v 肛b u s e x t e n s i o nf o ri n s t r u m e n t a t i o n ) ,它为各个生产仪器模块的厂家制定了硬件 和软件标准。 v x i b h s 系统最多可以包含2 5 6 个器件( d e v i c e ) ,每个器件都是具有唯一逻 辑地址的单元,它是系统的基本逻辑成分。v x i b u s 系统允许有4 种尺寸的模块, 并把模块插入特制的主机箱内各插槽中。以每个主机箱为单位构成一个v b u s 子系统,它最多可放置1 3 个模块。主机箱的背板为v x i 总线印制扳,模块与 w x x b u s 印制板之间通过连接器连接。连接器有p 1 、p 2 、p 3 三种,其中p l 是必 须的,p 2 、p 3 是可选的。主机箱背板上安装着连接器插座,模块上安装着连接 器插头。v x i 总线具有如下几个特点: 物理尺寸小 电气接触性能好 数据吞吐能力更强 不同厂商模块的兼容性强 系统扩展性强,组态灵活 标准化的软硬件体系结构 目前,v x i 总线模块仪器的范围己覆盖了传统的电子仪器领域。v x i 总线模 块仪器的优点是易于集成为不同用途的自动测试系统,具有优良的交互操作性, 数据传输速率高,可靠性高,易维修,体积小,重量轻,功耗低,可移动性好, 价格与传统自动测试系统相比具有巨大的竞争潜力。目前,全世界生产v x i 总 线模块仪器的厂家有9 0 多家,产品超过1 0 0 0 种,组建的自动测试系统超过 1 0 0 0 0 套。因此v xr 总线测试平台被公认为2 1 世纪仪器总线系统和自动测试系 统的优秀平台。 , 电子科技大学硕士论文 1 2 1 3 9 4 - v x l 零槽资源管理器 v x i 总线系统与所有自动测试系统一样,必须有系统控制器来控制整个v x l 系统的工作。v x i 总线系统控制器可以置于v x i 主机箱之内,乜可置于v x i 主 机箱之外。目前,v x i 总线系统控制器主要有四种类型:g p i b v x i ,内嵌式控 制机,1 3 9 4 - v x i ,m ) ( i 。这些控制器都放在v x i 主机箱的零号槽位置,故称为零 槽控制器。它们除有计算机或翻译器及接口的功能外,还必须有公共系统资源 及资源管理的功能,以对公共时钟信号,触发信号,模块识别信号,中断优先 及中断响应等信号的驱动和管理,所以也称零槽资源管理器。v x i 系统对零槽 控制器的控制功能可以有不同的要求,因此,可根据系统需要来设计或选用不 同的控制器。 g p i b - v x i 转换器具有不受v x i 机箱的物理结构限制、价格低廉、易操作、 升级方便等优点,但它有一个致命的弱点:数据传输速率受g p i b 总线的限制, 理论上为1 m b s :而内嵌式控制器和m x i - i i 的数据传输速率虽然很高,可以达 到3 0 4 0m b s ,但其价格非常昂贵,而且诸如g p i b ,m x i i i 的连接和配置极 为不便。因此,就需要一种性价比较高,易于连接和配置的v x i 零槽控制器, 这样1 3 9 4 一v x i 控制器就应运而生了。为什么选择1 3 9 4 7 这是因为1 3 9 4 总线具 有以下主要优点: 传输速率高:支持1 0 0m b i t s s ,2 0 0m b i t s s ,4 0 0m b i t s s 灵活性:提供同步和异步数据传输方式 友好的用户接口:线缆易于连接和配置,支持热插拔和即插即用 可扩展性:直接支持6 4 个外围设备 价格相对便宜 i e e e l 3 9 4 高速串行总线又称为火线( f i r e w i r e ) ,最初是在p c 机和高速娱 乐设备间进行数据传输的新标准,它为各种计算机外围设备,存储器系统和终 端设备提供了一种通用,高速,低廉的数据通信解决方案。之所以能够实现低 价格,是通过采用了简化电缆设计的串行传输;由于1 3 9 4 通过在双绞线上以差分 形式传送,实现了高速数据传送。 1 3 9 4 一v x i 控制器跟g p i b v x i 控制器一样同属外接转换式控制机,通常为单 槽c 尺寸的消息基v x l 零槽模块,是通过工业标准i e e b l 3 9 4 总线提供p c 机和 v x i 主机箱的直接连接。由于1 3 9 4 是一种高速串行总线( 可支持l o o m b p s , 2 0 0 m b d s ,4 0 0 m b p s 的数据传输) ,与g p i b v x i 转换器相比,1 3 9 4 一v x i 转换器非 常适合于需要传输大量数据块的数据采集应用,同时具有不受v x i 机箱的物理 结构限制、价格低廉、易于配置和连接、升级方便等优点。 电子科技大学硕士论文 如图卜l 所示,来自p c 机的数据经p c i - 1 3 9 4 接口卡串行化处理并产生1 3 9 4 数据包,这些数据包经1 3 9 4 电缆串行传输到1 3 9 4 一v x i 控制器,再由1 3 9 4 一y x l 控制器的链路层解包,再送到v x i 机箱背板的其它模块。 1 3 课题在理论和实际应用方面的意义和价值 1 3 9 4 一v x i 零槽资源管理器可广泛应用于各种v x i 测试系统,尤其是对数据 传输速率要求较高,数据传输量大的综合测试系统。目前,国内在v x i 系统集 成和软硬件开发方面做了许多研究工作,但在1 3 9 4 领域尚无相关产品出现,即 国内在该领域的研究还处于空白。从目前的情况来看,国内还没有厂家正式生 产1 3 9 4 一v x i 零槽资源管理器:在国外,h p 公司推出了口e 8 4 9 1 b 以及相关的基 于o h c i 的p c i 一1 3 9 4 接口卡和驱动软件,n i 公司也有相关产品问世。此外,随 着i e e e l 3 9 4 规范的不断完善,其应用必将越来越普及,对1 3 9 4 总线技术的研 究也显得日益重要。为了推动v x i 技术的发展和应用,提高我国军用测试技术 的整体水平,电子科技大学c a t 实验室在国防九五项目e s l 4 v 0 iv x ip e n t i u m 控制器和e s l 4 v 0 2g p i b v x i 转换器的成果的基础上,对1 3 9 4 v x i 零槽资源管 理器的研制无论在理论还是在实践上都具有重要的意义和价值。 1 4 课题任务 1 3 9 4 一v x l 零槽资源管理器的开发包括硬件电路监控软件和v i s a 函数三大 部分的设计。具体地讲,其主要研究内容为: 硬件电路 该部分主要实现i e e e l 3 9 4 串行总线的接口功能和v x t 总线的接口功能,来 完成1 3 9 4 总线和v x i 总线之间的数据交换和1 3 9 4 串行总线和v x i 总线协 议之间的交互翻译,从而在i e e e l 3 9 4 串行总线和v x i 总线之间提供一座相 互沟通的桥梁。主要包括1 3 9 4 接口电路。v x i 总线接口电路,本地微处理 器电路,模块识别电路和t t l 触发电路以及c p l d 等可编程电路的设计。 电子科技大学硕士论文 监控软件 监控软件主要完成l 3 9 4 - v x i 零槽资源管理器的本地操作调用对v x i 总线 系统的地址空间,通信分层结构,中断系统进行初始组态分配,以使v x i 总线中各器件具有正常命令的能力还要完成资源自动配置以及i e e e l 3 9 4 串行总线和v x i 总线协议之间的交互等功能。 v i s a 函数 v i s a ( 虚拟仪器软件结构) 是v p p ( v x lp l u g p l a y ) 联盟制定的新一代 仪器通用1 o 标准,具有与仪器硬件接口无关的特性,为用户提供一套独立 的、易于使用的底层i o 函数,用户可调用这些函数编写仪器驱动程序。因 此,开发v x ! 控制机必须开发相应的v i s a 驱动软件,该部分主要是实现v i s a 的各类函数,使其符合vp p 联盟制定的v i s a 规范,为用户编写测试程序提 供方便。 除硬件设计外,本文还进行了1 3 9 4o h c i 程序开发,为监控软件和v i s a 函数的设计打下了基础,特别指出一点:5 4 3 2 节的内容属监控软件范畴, 但它与1 3 9 4o h c i 程序紧密相关,因此将该部分放在1 3 9 4o h c i 程序部分讨论。 在导师的悉心指导下,本人主要完成了以下工作: i e e e l 3 9 4 接口功能的实现( 包括链路层与物理层接口) v x l 总线接口功能的实现 v x i 模块识别电路、t t l 触发电路的设计 本地微处理器电路的设计 1 3 9 4 一v x i 控制器系统资源的分配( c p l d 电路的设计) 1 3 9 4 数据包的发送与接收 1 3 9 4o h c i 程序的开发 电子科技大学硕士论文 第二章1 3 9 4 串行总线技术 i e e e l 3 9 4 规范涉及的内容很多,本章介绍了与1 3 9 4 - v x i 零槽资源管理器的 设计紧密相关的部分,为后面的设计和调试打下基础。其余内容见1 3 9 4 规范。 2 1 概述 苹果计算机公司于2 0 世纪8 0 年代中期开始开发f i r e w i r e ,其制定的规范 被i e e e 采纳为i e e e t 3 9 4 1 9 9 5 。基于对i e e e l 3 9 4 1 9 9 5 不同理解的早期实现造 成不同生产商产品之间的互操作问题,为了解决这些问题,在i e e e l 3 9 4 1 9 9 5 的基础上增加了1 3 9 4 a 附加规范。i s 0 i e c l 3 2 i 3 ( a n s i i e e e l 2 1 2 ) 规范,正式 名称为“微型计算机总线信息技术一微处理机系统控制和状态寄存器体系结 构”,定义了能被多种总线( 包括1 3 9 4 总线) 实现的一种通用核心特征集。以 上两个规范是1 3 9 4 串行总线建立的基础。 2 1 1i e e e l 3 9 4 线缆环境 1 3 9 4 线缆环境的主要特点如下: 使用方便:支持热插拔和即插即用 高速传输。| 生能:支持$ 1 0 0 ,$ 2 0 0 ,$ 4 0 0 的速度 支持等时和异步传输两类事务 支持点到点传输,独立于主机系统的操作 支持公平仲裁,错误检测和处理 线缆电源:节点可从总线上获得电源 支持1 0 2 4 总线,6 4 个节点 分层的软硬件模型 基于上述特点,1 3 9 4 提供了一种允许连接多种高性能设备的串行总线互连。 21 2c s r 体系结构 i s o i e c l 3 2 1 3 规范定义了以下特征: 节点体系结构 它包含模块,节点和元件三级概念。模块就是连接入总线的物理设备,它包含 一个或多个节点。节点就是代表模块内的一个逻辑实体。元件代表节点的功能 子组成。 地址空间 c s r 体系结构定义了3 2 位和6 4 位的解址模式,然而i e e e l 3 9 4 规范仅支持6 4 位固定解址模式,在这种模式下,串行总线规范给1 0 2 4 条总线分配6 4 k 节点, 每个节点被分配并得到相等的地址空间,每个节点定义了2 5 6 t b 的内存地址空 间。 电干科技大学硕士论文 通用事务类型 c s r 体系结构定义了用于串行总线异步传输的3 种基本事务类型:读取,写入, 锁定,同时也支持等时事务。 控制和状态寄存器( c s r s ) 所有1 3 9 4 节点必须实现由c s r 体系结构定义的一组核心控制和状态寄存器。 配置r o m 格式和内容 g s r 体系结构定义了在节点初始化期间用于提供配置信息的r o m 条目集,有两 种r o b l 格式:最小格式和一般格式 2 21 3 9 4 总线电气接口 如图2 - 1 所示,1 3 9 4 串行总线有两组双绞信号线t p a t p a * 和t p b t p b * ,还 有组单独的双绞线用作电源线。t p a 和t p b 同时提供差模和共模信号,可支 持设备连接和拆卸的检测,速度信号,挂起恢复信号,复位,仲裁,自动配置, 数据包的传输。 图2 一l1 3 9 4 电缆 1 3 9 4 工业标准线缆的最大长度为4 5 m ,特征电阻为1 1 0 q ,当以4 0 0 b l h z 传 输时,信号对衰减= ( 5 8 d b 。i e e e l 3 9 4 还定义了六针插头,其信号分配如表2 1 所示。双绞信号线t p a 和t p b 与插头上的t p a 和t p b 是交叉反接的。在1 3 9 4 线 缆上传送的数据是以差动方式传输的,目的是消除产生于电缆线的信号反射, 降低噪声。其上有3 种信号状态:差摸1 ,差摸0 ,高阻态。当t p a t p b 电压分 别比t p a * t p l 3 * 电压高时,将差分发送逻辑l 信号:而t p a t p b 电压分别比 t p a * t p b * 电压低时,将差分发送逻辑o 信号:当连接到信号对的选通和数据发 生器失效时,总线会处于高阻状态。 6 电子科技大学硕士论文 表2 1 联系号码信号名称描述 lv p线缆电源( 8 v 4 0 v ) 2v g线缆地线 3t p b *双绞线b 4t p b传送差分数据,接收差分选通信号 0t p a *双绞线a 6t p a传送差分选通信号,接收差分数据 2 3 1 3 9 4 串行通信分层模型 为简化硬件和软件的实现,1 3 9 4 规范定义了四个协议层,每层定义了一套 相关的服务用于支持配置,总线管理及在应用程序和1 3 9 4 协议层之间的通信。 单个节点中各层之间的关系如图2 - 2 : 图2 - 21 3 9 4 通信分层模型 四个协议层的功能分别为: 总线管理层:负责总线配置和每个节点的活动管理 事务层:只支持异步传输。异步事务模式主要基于请求节点和响应节点之间 的通信。每一事务包括请求子务和响应子务,请求者和响应者事务层之间 链路和物理层的操作。1 3 9 4 应用程序对1 3 9 4 通信模型的中间各层一无所知, 它们只是简单地向事务层发出数据传输请求,该软件层将传输请求转换成 完成传输请求所需的一个或多个事务请求。 链路层:将事务层请求和响应转化为相应的包或子务,准备发送到串行总线 上。该层还对进来的等时或异步包进行地址或信道号解码,c r c 错误检测也 - , 电子科技大学硕士论文 在这里完成。 物理层:提供了在串行总线上传送的数据比特( 包) 的传输和接收所必需的 电子和机械接口,物理层还实现了仲裁进程,以确保同时间上只有一个 节点在总线上传输数据 i e e e l 3 9 4 规范定义了在上述通信模型中层与层之间传递参数的服务,这些 服务用来初始化事务或向接收到的事务作出响应。 2 4 f e e e l 3 9 4 异步仲裁协议 i e e e l 3 9 4 的仲裁包括异步和同步仲裁。当链路层需要传输数据包时,它必 须首先使物理层获得总线的使用权。数据包传输的类型决定了链路层的请求类 型。当链路层请求总线使用权时,它必须用到仲裁服务。在1 3 9 4 一v x i 零槽资源 管理器的设计中,我们只用异步传输以及公平仲裁来确保数据的正确传输。异 步传输不需要以固定的速率传输数据,而是通过唯的地址确定某一特定的节 点。不需要规则地使用总线,但必须获得时间上的公平访问。 公删卜一公相隔n 一蛰平间隔n + t 图2 - 3i e e e l 3 9 4 异步传输和公平仲裁 如图2 - 3 所示,在异步传输期间,数据实时传送到某一节点,目标节点接收 到数据后返回应答信号。数据在1 3 9 4 串行总线是以包( s u b a c t i o n ) 的形式来 传送的。一个异步数据包的传输在时间上由三部分组成: 仲裁序列( a r bs e q ) :节点需要控制总线的时期: 数据包( d a t ap a c k e t ) :数据包由三部分构成,即数据前缀,数据本身,数 据后缀。其中数据前缀包含事务的有关信息: 应答信号( a c k ) :目标节点返回的代码以证实数据的正确接收。 公平仲裁是基于图2 - 3 中的公平间隔( f a i r n e s si n t e r v a l ) 的,一个公平 间隔包含一个或多个包( s u b a c t i o n ) : 公平间隔始于节点为获得总线的控制而申请使用总线 电子科技大学硕士论文 当节点被允许使用总线后,它将传送数据包至总线,并且它将在下一个公 平间隔到来之前被禁止申请使用总线 子务间隔( s u b a c t i o ng a p ) 在前一个数据包被发送后发生,在这个期间, 其他节点可以申请使用总线,下一个获得总线使用权的节点将传送数据包 至总线,并且它将在下一个公平间隔到来之前被禁止申请使用总线 当每个节点都有机会访问总线并且发生仲裁复位间隔( a r b i t r a t i o i lr e s e t g a p ) 时,公平间隔结束。仲裁复位间隔在下一个公平间隔重新使能每个 要申请使用总线的节点。 2 5ie e e i3 9 4p h y - l l o 接口标准 由于1 3 9 4 协议比较复杂,软硬件设计相当困难。近几年来,t i 公司相继推 出了一系列l 3 9 4 所必需的链路层和物理层控制芯片以及1 3 9 4 接口解决方案, 我们在1 3 9 4 一v x i 控制器的设计过程中采用了该公司的物理层控制芯片 t s b 4 1 a b 3 和链路层控制芯片t s b l 2 l v 0 1 a 。在本节将介绍这两块芯片和p h y l l c 接口标准,以便更好地理解1 3 9 4 接口的软硬件设计。 2 51t s b 4 1 a b 3 的内部结构及功能 t s b 4 1 a b 3 提供了在1 3 9 4 网络中实现三端口设备的数字和模拟收发功能。图 2 4 给出了t s b 4 1 a b 3 内部的主要功能块,主要包括电缆端口,链路层接口,偏 压和电流发生器,晶体振荡器及锁相环,仲裁和控制逻辑,p h y 寄存器等。 电缆端口 每个电缆端i 都有两对差分收发器。为了确定连接状态、初始化和仲裁以 及包的接收和发送,收发器含有监控线状态的电路。在初始化和仲裁期间,t p a 和t p b 都有差分比较器来监视线状态。内部逻辑也用差分比较器的输出来确定 仲裁状态。t p a 通道监控电缆共模电压,在仲裁期间,该电压用于设置下一个 包发送的速度。t p b 通道监控电缆共模电压来提供远端对线偏置电压。工作于 高阻抗电流模式的t s b 4 l a b 3 的线驱动器是设计用来与外接1 1 2q 线终端电阻工 作的,以匹配1 1 0 q 电缆阻抗。 电子科技大学硕士论文 图2 4t s b 4 i a b 3 功能结构 链路层接口 通过电缆端口要发送的数据位从l l c 的2 ,4 ,8 位并行数据线( 取决于请 求发送速度) 上被接收,锁存于t s b 4 1 a b 3 与4 9 1 5 2 m h z 的系统时钟同步。这些 位串行组合、译码以s 1 0 0 、$ 2 0 0 、$ 4 0 0 发送。在发送过程中,在t p b 电缆对上 差分发送译码数据,在t p a 电缆对上差分发送译码选通信息。在包的接收过程 中,在t p a 上接收译码数据信息,在t p b 上接收译码选通信息。 偏压和电流发生器 y s b 4 1 a b 3 包含三个独立的t p b j a s 电路,在t p b i a s 脚上提供1 8 6 v 的偏置 电压。从远端接收器看,偏置电压表明有效的连接状态。在r 0 与r l 之间连接 的外部电阻( 6 3 k 与1 m 并联) 用来设置驱动输出电流及其他内部工作电流。 晶体振荡器及锁相环 t s b 4 1 a b 3 只需要步 接2 4 5 7 6 m h z 晶振作为参考,外部时钟也可代替晶振。 内部的振荡器驱动内部的锁相环产生3 9 3 2 1 6 m h z 的参考信号,该参考信号内部 又被分频用来提供控制译码选通和数据的发送时钟。4 9 1 5 2 m h z 的时钟信号用来 同步l l c 与p h y ,也用于接收数据的再同步。 1 0 电子科技大学硕士论文 仲裁和控制逻辑 为确保同一时间上只有一个节点在总线上传输数据,t s b 4 1 a b 3 还实现了 1 3 9 4 的仲裁进程。 内部寄存器 t s b 4 l a b 3 内部有l 6 个可访问的寄存器。地址为0 h 到7 h 的寄存器( 基寄存 器) 配置是固定的,而地址为8 h 到f h 的寄存器配置取决于8 页中的哪一页是 当前被选中的,被选中的页在7 h 寄存器中设置。在页寄存器配置中,仅定义了 第o 、1 、7 页。t s b 4 l a b 3 内部的基寄存器映射图见附录一。 2 5 2t s b l 2 l v 0 1 a 的内部结构及功能 t s b l 2 l v o a 提供了3 2 位微处理器与物理层芯片的高性能接口,图2 5 给出 了t s b l 2 l v 0 1 a 内部的主要功能块,主要包括主机接口,物理层接口,发送器, 图2 - 5t s bl 2 l v o l a 功能框图 主机接口 主机接口包括与微处理器的3 2 位数据线和8 位地址线的总线接口。 物理层接口 物理层接口提供收发数据包和应答包,读写p h y 寄存器等功能。 发送器 发送器读走f i f o 中的待发送的数据,并产生1 3 9 4 正确格式的数据包。 电子科技大学硕士论文 接收器 接收器对收到的数据包进行识别和c r c 检查,并作出相应的处理,最后将数据 存入g r f 中。 内置f i f o t s b l 2 l v o i a 的内置r i f o 包括异步发送f i f o ( a t v ) ,同步发送f f f o ( i t f ) 和 接收f i f o ( g r f ) ,这些f i f o 都为3 2 位宽,根据不同的应用场合可以由软件 定义各自的大小,但其大小的总和不能超过5 1 2 q u a d l e t s ( 1 q u a d l e t s = 4 b y t e s ) 。对主机接口来说,a t f 和i t f 是只写的,而g r f 是只读的。 配置寄存器 t s b l 2 l v o i a 内部一共有1 7 个寄存器和2 k 的f i f o ,由八根地址线a d d r o a d d r 7 来寻址。t s b l 2 l v 0 1 a 内部配置寄存器的内容见附录二。 主处理器通过对这些寄存器的操作可以实现对收发数据包的控制,中断控 制,p h y 寄存器读写,以及f i f o 的控制和状态获取。 25 3 链路层和物理层芯片组接口标准 以下p h y 代表物理层控制器,l l c 代表链路层控制器。 p h y l l c 接口中有四种操作:l l c 服务请求,状态传送,数据发送,数据接 收。p h y 控制总线时接口工作状态如表2 2 所示,l l c 控制总线时接口工作状 态如表2 - 3 所示。 表2 - 2 c t l 0c t l l名称解释 00 空闲态无操作( 缺省模式) 0i状态传送从p h y 向l l c 发送状态信息 l0 接收态从p h y 向l l c 发送待接收的数据包 ll允许态l l c 获得总线控制来发送数据包 表2 - 3 c t l oc t l l名称解释 oo空闲态l l c 释放总线( 发送已完成) 当数据准备发送或另外的包要发送时 o1保持态 l l c 保持总线 1o发送态从l l c 向p h y 发送数据包 ll保留 电子科技大学硕士论文 2 5 31 l l o 服务请求 为了要求访问总线,或读写p h y 寄存器,链路层控制器在l r e q 脚上发送 串行位流:l r 0 ,l r | ,l r 2 l r ( n 一1 ) ;串行位流的长度取决于服务请求的类 型:总线请求,寄存器读请求,寄存器写请求,加速控制请求:不管是什么请求 类型,在流的开始是起始位1 ,在流的结束是停止位0 ,第2 4 位表示请求类 型。l r 0 是位流中最先传送的,l r e q 脚通常为低。 2 5 3 2 状态传送 在寄存器读请求后或当p h y 有信息要发送给l l c 或事务层时,p h y 发送 整个1 6 位状态包给l l c 。p h y 自动发送寄存器状态给l l c 的唯一情况是在自 标识后。p h y 发送含有新节点地址的物理d 寄存器。 状态传送的事件序列 1 1 启动状态传送:p h y 通过改变c t l 的状态来表明状态传送,同时状态数 据出现在d o d l 上( 每周期只传送状态的2 b i t s ) 。通常,状态传送为2 或8 个周期。只有状态信息被传送,需要2 个周期:当寄存器数据被传 送,需要8 个周期: 2 ) 结束状态传送:p h y 通过置c t l 为空闲来结束状态传送。如果当前处于 总线复位,p h y 也可紧接着状态传送结束立刻置c t l 为允许。p h y 应 该在连续的状态传送之间保证一个空闲周期。 状态传送时序如图2 6 。 厂 厂 r l 厂 厂 c t l 0 ,c f l l 二亚二二二 二 d o , d 1 二卫吲二二砸互匝二 图2 6 状态传送时序 2 533 数据包的接收 包接收的事件序列 1 1 启动接收操作p h y 通过置c t l 为接收态来表明接收操作; 2 1 数据在线声明:p h y 可在速度代码之前在数据线上置一个或多个周期的 数据在线声明: 电子科按大学硕士论文 3 ) 速度代码:在数据包之前,p h y 通过在数据线上置一个周期的速度代码 来表明接收包的速度,链路层在第一个接收周期对速度代码进行译码: 4 ) 接收数据:紧跟在数据在线声明和速度代码后面,p h y 在数据线上置数 据包,c t l 处于接收态表明还有接收操作: 5 ) 结束接收操作:p h y 通过置c t l 为空闲态来表明结束接收操作,p h y 在接收操作后保证至少一个空闲周期。 包的接收时序如图2 7 。 盯。广 厂 厂 l 广 厂 厂 一 l 厂 厂 啪t 二弓 夏曼i 二赶互( 三x 二三x 三二 图2 - 7 数据包的接收时序 2 5 3 4 数据包的发送 包发送的事件序列 1 ) 启动发送操作:p h y 通过置c t l 为允许态,接着为空闲态来启动发送: 2 ) 空闲周期( 可选) :链路层在保持态或发送态之前可有一个空闲周期: 3 ) 保持周期( 可选) :链路层在发送态之前最多可置4 7 个周期的保持态: 4 ) 发送数据包:当有数据准备发送时,链路层置c t l 为发送态,同时数据 出现在数据线上: 5 ) 结束发送操作:链路层通过置c t l 为保持态或空闲态来结束发送操作。 链路层置e t l 为保持态表明p h y 要保留对总线的控制以发送连续包; 6 ) 连续包速度代码:如果多速连续被使能,当l l c 置c t l 为保持态来结束 发送操作时,将在数据线上置速度代码来表明后续连续包的发送速度: 7 ) p h y 重新获得接口的控制后,在下一个操作之前,p h y 将置c t l 至少一 个空闲周期。 电子科技大学硕士论文 包发送时序如图2 - 8 。 nr nnni 儿r 几r r r c t i o jc l 几1 王e ) e 固: 二娅 图2 - 8 数据包的发送时序 电子科技大学硕士论文 第三章1 3 9 4 - v x l 转换器硬件的设计与实现 3 1 1 3 9 4 一v x l 转换器的功能 1 3 9 4 一v x i 转换器通常插在v x i 主机箱的零号槽,具有g x i b u s 系统的零槽功 能和资源管理能力,也称零槽控制器或零槽资源管理器。其主要功能如下: 提供v 忸标准定义的系统控制板的功能:包括优先总线仲裁器、i a c k 菊花 链驱动、系统时钟( s g s c l k l 6 ) 驱动、系统复位( s g s r e s e t ) 驱动、2 5 0 “s 的 总线超时监测器及电源监测器等功能模块: 提供标准v x i 零号槽消息基器件的功能:支持m o d i d 模块识别线和c l k l 0 时钟线,并能实现“读m o d i d ”、“置m o d i d 低”、“置m o d i d 高”等命令: 具有v x i b u s 资源管理器功能:在系统开机时能进行器件识别、系统自检管 理、地址图配置、命令者从者层次配置、i r q 线分配及启动正常操作等一 系列操作: 提供i e e e l 3 9 4 和v x i 总线之间的数据交换; 控制v x i b u s 系统的t t l 和e c l 触发线和相关协议。 1 3 9 4 - v x i 转换器的功能是由硬件和软件共同来实现的,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论