数字电路课程设计-数字钟_第1页
数字电路课程设计-数字钟_第2页
数字电路课程设计-数字钟_第3页
数字电路课程设计-数字钟_第4页
数字电路课程设计-数字钟_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

大连理工大学本科实验报告题目:数字钟课程名称:数字电路课程设计学院(系):电子信息与电气工程学部专业:电气工程及其自动化班级:学生姓名:学号:完成日期:成绩:2017年1月7日题目:数字钟1设计要求1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。2设计分析及系统方案设计2.1系统状态转换因为数字钟成正常显示时间、闹钟、校时、秒表四个状态,所以可以选用两个开关来对其状态进行控制,当开关分别为00,01,10,11四种状态时,对应显示时间、修改时间、闹钟、秒表四种状态。显示时间显示时间修改时间,使用两个开关分别对位和数字更改闹钟,使用两个开关分别对位和数字进行更改秒钟,使用一个开关控制秒钟的开始和关闭四个状态000110112.2时钟信号的建立该多功能数字钟系统需要两个时钟信号,分别为1Hz的信号为数字钟提供秒信号以及200Hz的检查信号。本设计选用50MHz信号,分别将其通过模25000000计数器和模125000计数器从而得到1Hz及200Hz信号。2.3功能分析及其设计2.3.1时间显示功能当两个开关都处于低电平状态时,正常显示。2.3.2修改时间当sw1处于低电平状态,sw0处于高电平状态,进入当前时间修改功能。通过按键key0分别选择修改时、分或秒,通过按键key1对选择修改的时间进行+1操作。2.3.3闹钟当sw1出于高电平状态,sw0出于低电平状态,进入闹铃功能。通过按键key0分别选择改变时、分或秒,通过按键key2对选择改变的时间进行+1操作,当数字钟到当前时间,LEDG0发光。2.3.4秒表当sw1及sw0都处于高电平状态,进入秒表功能。通过按键key0进行秒表计时的开始及暂停,通过按键key3对秒表进行清零。3系统以及模块硬件电路设计3.1硬件原理图图3.1系统示意图图3.2按键电路图3.3状态选择按键图3.4闹钟使用的led3.2管脚定义程序代码引脚功能作用clkPIN_N2提供50MHz的时钟信号s1PIN_N25选择功能状态s2PIN_G26选择功能状态k1PIN_G26切换改变时间功能的时、分、秒;切换闹钟功能设置闹钟时间的时、分、秒;秒表功能的开始与暂停k2PIN_N23改变时间功能的+1k3PIN_P23闹钟功能设置闹钟时间的+1k4PIN_W26秒表功能的清零LEDPIN_AE22闹钟时间到来时指示dclkh1[0]PIN_N9连接HEX7,显示时高位dclkh1[1]PIN_P9dclkh1[2]PIN_L7dclkh1[3]PIN_L6dclkh1[4]PIN_L9dclkh1[5]PIN_L2dclkh1[6]PIN_L3dclkh2[0]PIN_M4连接HEX6,显示时低位dclkh2[1]PIN_M5dclkh2[2]PIN_M3dclkh2[3]PIN_M2dclkh2[4]PIN_P3dclkh2[5]PIN_P4dclkh2[6]PIN_R2dclkm1[0]PIN_R3连接HEX5,显示分高位dclkm1[1]PIN_R4dclkm1[2]PIN_R5dclkm1[3]PIN_T9dclkm1[4]PIN_P7dclkm1[5]PIN_P6dclkm1[6]PIN_T2dclkm2[0]PIN_T3连接HEX4,显示分低位dclkm2[1]PIN_R6dclkm2[2]PIN_R7dclkm2[3]PIN_T4dclkm2[4]PIN_U2dclkm2[5]PIN_U1dclkm2[6]PIN_U9dclks1[0]PIN_W24连接HEX3,显示秒高位dclks1[1]PIN_U22dclks1[2]PIN_Y25dclks1[3]PIN_Y26dclks1[4]PIN_AA26dclks1[5]PIN_AA25dclks1[6]PIN_Y23dclks2[0]PIN_Y24连接HEX2,显示秒低位dclks2[1]PIN_AB25dclks2[2]PIN_AB26dclks2[3]PIN_AC26dclks2[4]PIN_AC25dclks2[5]PIN_V22dclks2[6]PIN_AB234系统的Verilog设计//顶层模块moduleclock(clk,dclks1,dclks2,dclkm1,dclkm2,dclkh1,dclkh2,s,h,state,s1,s2,k1,k2,k3,k4,LED);inputclk; //声明输入端口,连接50M晶振inputs1,s2; //声明输入端口,控制功能转换inputk1,k2,k3,k4; //声明输入端口,连接按键,对功能内部进行设置outputLED; //声明输出端口,连接LED,闹钟指示outputs,h;//声明输出端口,为各功能提供秒信号outputstate;//声明输出端口,表示当前状态output[6:0]dclks1,dclks2,dclkm1,dclkm2,dclkh1,dclkh2;//声明输出端口,连接数码管,reg[31:0]c,e;regs,h;regb;reg[1:0]state;wire[7:0]cs0,cm0,ch0,cs1,cm1,ch1,cs2,cm2,ch2,cs3,cm3,ch3;//分别连接四个状态的时分秒reg[7:0]clks,clkm,clkh,clks1,clkm1,clkh1;wire[6:0]dclks1,dclks2,dclkm1,dclkm2,dclkh1,dclkh2;reg[1:0]k,a,d;regLED;regclkf;always@(negedgek1)//检测k1begin if(state==2'b01) begin if(k==2'd2) k<=2'd0; else k<=k+1'd1; end elseif(state==2'b10) begin if(a==2'd2) a<=2'd0; else a<=a+1'd1; end elseif(state==2'b11) begin b<=~b; endendalways@(negedgek4)//检测k4begin if(state==2'b11) begin if(d==1'b1) d<=1'b0; else d<=d+1'b1; endend always@(posedgeclkf)//定义状态begincase({s1,s2})2'b00:state=2'd0;2'b01:state=2'd1;2'b10:state=2'd2;2'b11:state=2'd3;default:state=2'd0;endcaseendalways@(posedgeclk)//提供1Hz信号beginif(c==32'd24999999) begin s<=~s; h<=~h; c<=1'd0; endelse c<=c+1'd1;endalways@(posedgeclk)//提供200Hz信号begin if(e==32'd125999) clkf<=~clkf; else e<=e+1'b1; endalways@(posedgeclkf)//检验时间是否到设定的闹钟beginif(cs2==cs0&&cm2==cm0&&ch2==ch0) LED<=1'b1;else LED<=1'b0;endalways@(posedgeclkf)beginif(state==1'b0)beginclks<=cs0;clkm<=cm0;clkh<=ch0;endelseif(state==2'd1)beginclks1<=cs1;clkm1<=cm1;clkh1<=ch1;clks<=cs0;clkm<=cm0;clkh<=ch0;endelseif(state==2'd2)beginclks<=cs2;clkm<=cm2;clkh<=ch2;endelseif(state==2'd3)beginclks<=cs3;clkm<=cm3;clkh<=ch3;endendde2timeu0(.cs0(cs0),.cm0(cm0),.ch0(ch0),.s(s),.state(state),.clkf(clkf),.clks1(clks1),.clkm1(clkm1),.clkh1(clkh1));de2timechangeu1(.cs1(cs1),.cm1(cm1),.ch1(ch1),.state(state),.k2(k2),.k(k));de2alarmu2(.cs2(cs2),.cm2(cm2),.ch2(ch2),.a(a),.k3(k3),.state(state));de2timeru3(.cs3(cs3),.cm3(cm3),.ch3(ch3),.b(b),.h(h),.clkf(clkf),.d(d));bdtransformu4(.clks(clks),.clkm(clkm),.clkh(clkh),.dclks1(dclks1),.dclks2(dclks2),.dclkm1(dclkm1),.dclkm2(dclkm2),.dclkh1(dclkh1),.dclkh2(dclkh2),.clkf(clkf));endmodule//正常时间显示模块modulede2time(clks1,clkm1,clkh1,s,state,cs0,cm0,ch0,clkf);inputs;inputclkf;inputclks1,clkm1,clkh1;outputcs0,cm0,ch0;inputstate;wires;wireclkf;wire[7:0]clks1,clkm1,clkh1;wire[1:0]state;reg[7:0]cs0;reg[7:0]cm0;reg[7:0]ch0; always@(posedges)begin if(state==2'd0||state==2'd2||state==2'd3) begin if(cs0==6'b111011) begin cs0<=0; cm0<=cm0+1'b1; if(cm0==6'b111011) begin cm0<=0; ch0<=ch0+1'b1; if(ch0==5'b10111) begin ch0<=0; end else; end else; end else begin cs0<=cs0+1'b1; end end else begin cs0<=clks1; cm0<=clkm1; ch0<=clkh1; endendendmodule//时间更改模块modulede2timechange(cs1,cm1,ch1,state,k,k2);inputstate;inputk;inputk2;outputcs1,cm1,ch1;wire[1:0]k;wirek2;wire[1:0]state;reg[7:0]cs1;reg[7:0]cm1;reg[7:0]ch1;always@(negedgek2)beginif(state==2'b01)beginif(k==1'd0) begin if(cs1==6'b111011) cs1<=0; else cs1<=cs1+1'b1; endelseif(k==1'd1) begin if(cm1==6'b111011) cm1<=0; else cm1<=cm1+1'b1; endelseif(k==2'd2) begin if(ch1==5'b10111) ch1<=0; else ch1<=ch1+1'b1; endendendendmodule//闹钟功能模块modulede2alarm(cs2,cm2,ch2,state,a,k3);inputa;inputk3;input[1:0]state;output[7:0]cs2,cm2,ch2;wire[1:0]a;wirek3;wire[1:0]state;reg[7:0]cs2,cm2,ch2;always@(negedgek3)beginif(state==2'b10)beginif(a==1'd0) begin if(cs2==6'b111011) cs2<=0; else cs2<=cs2+1'b1; endelseif(a==1'd1) begin if(cm2==6'b111011) cm2<=0; else cm2<=cm2+1'b1; endelseif(a==2'd2) begin if(ch2==5'b10111) ch2<=0; else ch2<=ch2+1'b1; endendendendmodule//秒表功能模块modulede2timer(cs3,cm3,ch3,b,h,clkf,d);inputb;inputh;inputd;inputclkf;output[7:0]cs3,cm3,ch3;wireb;wires;wired;wireclkf;reg[7:0]cs3,cm3,ch3;always@(posedgeh)beginif(d==1'b0) begin if(b==1'b1) begin if(cs3==6'b111011) begin cs3<=0; cm3<=cm3+1'b1; if(cm3==6'b111011) begin cm3<=0; ch3<=ch3+1'b1; if(ch3==5'b10111) begin ch3<=0; end else; end else; end else begin cs3<=cs3+1'b1; end end endelsecs3<=0;cm3<=0;ch3<=0;endendmodule//将2进制转换为数码管输出modulebdtransform(clks,clkm,clkh,dclks1,dclks2,dclkm1,dclkm2,dclkh1,dclkh2,clkf);inputclks,clkm,clkh;inputclkf;outputdclks1,dclks2,dclkm1,dclkm2,dclkh1,dclkh2;wire[7:0]clks,clkm,clkh;wireclkf;reg[6:0]dclks1,dclks2,dclkm1,dclkm2,dclkh1,dclkh2;always@(clkf)begincase(clks)6'd0:{dclks1,dclks2}=14'b00000010000001;6'd1:{dclks1,dclks2}=14'b00000011001111;6'd2:{dclks1,dclks2}=14'b00000010010010;6'd3:{dclks1,dclks2}=14'b00000010000110;6'd4:{dclks1,dclks2}=14'b00000011001100;6'd5:{dclks1,dclks2}=14'b00000010100100;6'd6:{dclks1,dclks2}=14'b00000010100000;6'd7:{dclks1,dclks2}=14'b00000010001111;6'd8:{dclks1,dclks2}=14'b00000010000000;6'd9:{dclks1,dclks2}=14'b00000010000100;6'd10:{dclks1,dclks2}=14'b10011110000001;6'd11:{dclks1,dclks2}=14'b10011111001111;6'd12:{dclks1,dclks2}=14'b10011110010010;6'd13:{dclks1,dclks2}=14'b10011110000110;6'd14:{dclks1,dclks2}=14'b10011111001100;6'd15:{dclks1,dclks2}=14'b10011110100100;6'd16:{dclks1,dclks2}=14'b10011110100000;6'd17:{dclks1,dclks2}=14'b10011110001111;6'd18:{dclks1,dclks2}=14'b10011110000000;6'd19:{dclks1,dclks2}=14'b10011110000100;6'd20:{dclks1,dclks2}=14'b00100100000001;6'd21:{dclks1,dclks2}=14'b00100101001111;6'd22:{dclks1,dclks2}=14'b00100100010010;6'd23:{dclks1,dclks2}=14'b00100100000110;6'd24:{dclks1,dclks2}=14'b00100101001100;6'd25:{dclks1,dclks2}=14'b00100100100100;6'd26:{dclks1,dclks2}=14'b00100100100000;6'd27:{dclks1,dclks2}=14'b00100100001111;6'd28:{dclks1,dclks2}=14'b00100100000000;6'd29:{dclks1,dclks2}=14'b00100100000100;6'd30:{dclks1,dclks2}=14'b00001100000001;6'd31:{dclks1,dclks2}=14'b00001101001111;6'd32:{dclks1,dclks2}=14'b00001100010010;6'd33:{dclks1,dclks2}=14'b00001100000110;6'd34:{dclks1,dclks2}=14'b00001101001100;6'd35:{dclks1,dclks2}=14'b00001100100100;6'd36:{dclks1,dclks2}=14'b00001100100000;6'd37:{dclks1,dclks2}=14'b00001100001111;6'd38:{dclks1,dclks2}=14'b00001100000000;6'd39:{dclks1,dclks2}=14'b00001100000100;6'd40:{dclks1,dclks2}=14'b10011000000001;6'd41:{dclks1,dclks2}=14'b10011001001111;6'd42:{dclks1,dclks2}=14'b10011000010010;6'd43:{dclks1,dclks2}=14'b10011000000110;6'd44:{dclks1,dclks2}=14'b10011001001100;6'd45:{dclks1,dclks2}=14'b10011000100100;6'd46:{dclks1,dclks2}=14'b10011000100000;6'd47:{dclks1,dclks2}=14'b10011000001111;6'd48:{dclks1,dclks2}=14'b10011000000000;6'd49:{dclks1,dclks2}=14'b10011000000100;6'd50:{dclks1,dclks2}=14'b01001000000001;6'd51:{dclks1,dclks2}=14'b01001001001111;6'd52:{dclks1,dclks2}=14'b01001000010010;6'd53:{dclks1,dclks2}=14'b01001000000110;6'd54:{dclks1,dclks2}=14'b01001001001100;6'd55:{dclks1,dclks2}=14'b01001000100100;6'd56:{dclks1,dclks2}=14'b01001000100000;6'd57:{dclks1,dclks2}=14'b01001000001111;6'd58:{dclks1,dclks2}=14'b01001000000000;6'd59:{dclks1,dclks2}=14'b01001000000100;endcasecase(clkm)6'd0:{dclkm1,dclkm2}=14'b00000010000001;6'd1:{dclkm1,dclkm2}=14'b00000011001111;6'd2:{dclkm1,dclkm2}=14'b00000010010010;6'd3:{dclkm1,dclkm2}=14'b00000010000110;6'd4:{dclkm1,dclkm2}=14'b00000011001100;6'd5:{dclkm1,dclkm2}=14'b00000010100100;6'd6:{dclkm1,dclkm2}=14'b00000010100000;6'd7:{dclkm1,dclkm2}=14'b00000010001111;6'd8:{dclkm1,dclkm2}=14'b00000010000000;6'd9:{dclkm1,dclkm2}=14'b00000010000100;6'd10:{dclkm1,dclkm2}=14'b10011110000001;6'd11:{dclkm1,dclkm2}=14'b10011111001111;6'd12:{dclkm1,dclkm2}=14'b10011110010010;6'd13:{dclkm1,dclkm2}=14'b10011110000110;6'd14:{dclkm1,dclkm2}=14'b10011111001100;6'd15:{dclkm1,dclkm2}=14'b10011110100100;6'd16:{dclkm1,dclkm2}=14'b10011110100000;6'd17:{dclkm1,dclkm2}=14'b10011110001111;6'd18:{dclkm1,dclkm2}=14'b10011110000000;6'd19:{dclkm1,dclkm2}=14'b10011110000100;6'd20:{dclkm1,dclkm2}=14'b00100100000001;6'd21:{dclkm1,dclkm2}=14'b00100101001111;6'd22:{dclkm1,dclkm2}=14'b00100100010010;6'd23:{dclkm1,dclkm2}=14'b00100100000110;6'd24:{dclkm1,dclkm2}=14'b00100101001100;6'd25:{dclkm1,dclkm2}=14'b00100100100100;6'd26:{dclkm1,dclkm2}=14'b00100100100000;6'd27:{dclkm1,dclkm2}=14'b00100100001111;6'd28:{dclkm1,dclkm2}=14'b00100100000000;6'd29:{dclkm1,dclkm2}=14'b00100100000100;6'd30:{dclkm1,dclkm2}=14'b00001100000001;6'd31:{dclkm1,dclkm2}=14'b00001101001111;6'd32:{dclkm1,dclkm2}=14'b00001100010010;6'd33:{dclkm1,dclkm2}=14'b00001100000110;6'd34:{dclkm1,dclkm2}=14'b00001101001100;6'd35:{dclkm1,dclkm2}=14'b00001100100100;6'd36:{dclkm1,dclkm2}=14'b00001100100000;6'd37:{dclkm1,dclkm2}=14'b00001100001111;6'd38:{dclkm1,dclkm2}=14'b00001100000000;6'd39:{dclkm1,dclkm2}=14'b00001100000100;6'd40:{dclkm1,dclkm2}=14'b10011000000001;6'd41:{dclkm1,dclkm2}=14'b10011001001111;6'd42:{dclkm1,dclkm2}=14'b10011000010010;6'd43:{dclkm1,dclkm2}=14'b10011000000110;6'd44:{dclkm1,dclkm2}=14'b10011001001100;6'd45:{dclkm1,dclkm2}=14'b10011000100100;6'd46:{dclkm1,dclkm2}=14'b10011000100000;6'd47:{dclkm1,dclkm2}=14'b10011000001111;6'd48:{dclkm1,dclkm2}=14'b10011000000000;6'd49:{dclkm1,dclkm2}=14'b10011000000100;6'd50:{dclkm1,dclkm2}=14'b01001000000001;6'd51:{dclkm1,dclkm2}=14'b01001001001111;6'd52:{dclkm1,dclkm2}=14'b01001000010010;6'd53:{dclkm1,dclkm2}=14'b01001000000110;6'd54:{dclkm1,dclkm2}=14'b01001001001100;6'd55:{dclkm1,dclkm2}=14'b01001000100100;6'd56:{dclkm1,dclkm2}=14'b01001000100000;6'd57:{dclkm1,dclkm2}=14'b01001000001111;6'd58:{dclkm1,dclkm2}=14'b01001000000000;6'd59:{dclkm1,dclkm2}=14'b01001000000100;endcasecase(clkh)6'd0:{dclkh1,dclkh2}=14'b00000010000001;6'd1:{dclkh1,dclkh2}=14'b00000011001111;6'd2:{dclkh1,dclkh2}=14'b00000010010010;6'd3:{dclkh1,dclkh2}=14'b00000010000110;6'd4:{dclkh1,dclkh2}=14'b00000011001100;6'd5:{dclkh1,dclkh2}=14'b00000010100100;6'd6:{dclkh1,dclkh2}=14'b00000010100000;6'd7:{dclkh1,dclkh2}=14'b00000010001111;6'd8:{dclkh1,dclkh2}=14'b00000010000000;6'd9:{dclkh1,dclkh2}=14'b00000010000100;6'd10:{dclkh1,dclkh2}=14'b10011110000001;6'd11:{dclkh1,dclkh2}=14'b10011111001111;6'd12:{dclkh1,dclkh2}=14'b10011110010010;6'd13:{dclkh1,dclkh2}=14'b10011110000110;6'd14:{dclkh1,dclkh2}=14'b10011111001100;6'd15:{dclkh1,dclkh2}=14'b10011110100100;6'd16:{dclkh1,dclkh2}=14'b10011110100000;6'd17:{dclkh1,dclkh2}=14'b10011110001111;6'd18:{dclkh1,dclkh2}=14'b10011110000000;6'd19:{dclkh1,dclkh2}=14'b10011110000100;6'd20:{dclkh1,dclkh2}=14'b00100100000001;6'd21:{dclkh1,dclkh2}=14'b00100101001111;6'd22:{dclkh1,dclkh2}=14'b00100100010010;6'd23:{dclkh1,dclkh2}=14'b00100100000110;endcaseendendmodule5结论以及结果说明正常显示时间秒钟模10该多功能数字钟系统在QuartusⅡ软件上进行调试仿真,后在DE2开发板上进行验证,最终完成设计。设计出的闹钟具有正常时间显示,时间修改,闹钟及秒表功能。但不足的是还可以加入液晶显示屏。6心得体会,及对本课程的建议 通过对本课程的学习,收获很多,主要是对QuartusⅡ的理解和使用,包括对于语言的运用,模块的设计,引脚的使用等。并且希望实验课程最好设置在数电理论课程同时进行,会理解的更好。基于C8051F单片机直流电动机反馈控制系统的设计与研究基于单片机的嵌入式Web服务器的研究MOTOROLA单片机MC68HC(8)05PV8/A内嵌EEPROM的工艺和制程方法及对良率的影响研究基于模糊控制的电阻钎焊单片机温度控制系统的研制基于MCS-51系列单片机的通用控制模块的研究基于单片机实现的供暖系统最佳启停自校正(STR)调节器单片机控制的二级倒立摆系统的研究基于增强型51系列单片机的TCP/IP协议栈的实现基于单片机的蓄电池自动监测系统基于32位嵌入式单片机系统的图像采集与处理技术的研究基于单片机的作物营养诊断专家系统的研究基于单片机的交流伺服电机运动控制系统研究与开发基于单片机的泵管内壁硬度测试仪的研制基于单片机的自动找平控制系统研究基于C8051F040单片机的嵌入式系统开发基于单片机的液压动力系统状态监测仪开发模糊Smith智能控制方法的研究及其单片机实现一种基于单片机的轴快流CO〈,2〉激光器的手持控制面板的研制基于双单片机冲床数控系统的研究基于CYGNAL单片机的在线间歇式浊度仪的研制基于单片机的喷油泵试验台控制器的研制基于单片机的软起动器的研究和设计基于单片机控制的高速快走丝电火花线切割机床短循环走丝方式研究基于单片机的机电产品控制系统开发基于PIC单片机的智能手机充电器基于单片机的实时内核设计及其应用研究基于单片机的远程抄表系统的设计与研究基于单片机的烟气二氧化硫浓度检测仪的研制基于微型光谱仪的单片机系统单片机系统软件构件开发的技术研究基于单片机的液体点滴速度自动检测仪的研制基于单片机系统的多功能温度测量仪的研制基于PIC单片机的电能采集终端的设计和应用基于单片机的光纤光栅解调仪的研制气压式线性摩擦焊机单片机控制系统的研制基于单片机的数字磁通门传感器基于单片机的旋转变压器-数字转换器的研究基于单片机的光纤Bragg光栅解调系统的研究单片机控制的便携式多功能乳腺治疗仪的研制基于C8051F020单片机的多生理信号检测仪基于单片机的电机运动控制系统设计Pico专用单片机核的可测性设计研究基于MCS-51单片机的热量计基于双单片机的智能遥测微型气象站MCS-51单片机构建机器人的实践研究基于单片机的轮轨力检测基于单片机的GPS定位仪的研究与实现基于单片机的电液伺服控制系统用于单片机系统的MMC卡文件系统研制基于单片机的时控和计数系统性能优化的研究基于单片机和CPLD的粗光栅位移测量系统研究单片机控制的后备式方波UPS提升高职学生单片机应用能力的探究基于单片机控制的自动低频减载装置研究基于单片机控制的水下焊接电源的研究基于单片机的多通道数据采集系统基于uPSD3234单片机的氚表面污染测量仪的研制基于单片机的红外测油仪的研究96系列单片机仿真器研究与设计基于单片机的单晶金刚石刀具刃磨设备的数控改造基于单片机的温度智能控制系统的设计与实现基于MSP430单片机的电梯门机控制器的研制基于单片机的气体测漏仪的研究基于三菱M16C/6N系列单片机的CAN/USB协议转换器基于单片机和DSP的变压器油色谱在线监测技术研究基于单片机的膛壁温度报警系统设计基于AVR单片机的低压无功补偿控制器的设计基于单片机船舶电力推进电机监测系统基于单片机网络的振动信号的采集系统基于单片机的大容量数据存储技术的应用研究基于单片机的叠图机研究与教学方法实践基于单片机嵌入式Web服务器技术的研究及实现基于AT89S52单片机的通用数据采集系统基于单片机的多道脉冲幅度分析仪研究机器人旋转电弧传感角焊缝跟踪单片机控制系统基于单片机的控制系统在PLC虚拟教学实验中的应用研究基于单片机系统的网络通信研究与应用基于PIC16F877单片机的莫尔斯码自动译码系统设计与研究基于单片机的模糊控制器在工业电阻炉上的应用研究基于双单片机冲床数控系统的研究与开发基于Cygnal单片机的μC/OS-Ⅱ的研究基于单片机的一体化智能差示扫描量热仪系统研究基于TCP/IP协议的单片机与Internet互联的研究与实现变频调速液压电梯单片机控制器的研究基于单片机γ-免疫计数器自动换样功能的研究与实现基于单片机的倒立摆控制系统设计与实现\t"_bl

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论