第10章组合逻辑模块及其应用_第1页
第10章组合逻辑模块及其应用_第2页
第10章组合逻辑模块及其应用_第3页
第10章组合逻辑模块及其应用_第4页
第10章组合逻辑模块及其应用_第5页
已阅读5页,还剩241页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第10章组合逻辑模块及其应用

10.2译码器

10.3数据分配器和数据选择器

10.1编码器

10.4数值比较器

10.5加法器

10.6半导体存储器1.掌握编码器、译码器的逻辑功能及其应用;2.掌握数据选择器、数值比较器的逻辑功能及其应用;3.掌握加法器的功能及其应用;4.了解多功能集成逻辑器件及ALU的逻辑功能;5.学会阅读MSI器件的功能表,并能根据设计要求完成电路的正确连接。本章要求:10.1编码器

编码:用二进制代码表示文字、符号或者数码等特定对象的过程。10.1.1编码器(Encoder)的基本概念及工作原理编码器:实现编码的逻辑电路。如:8421BCD码中用1000表示数字8

ASCII码中用1000001表示字母A等编码器的逻辑功能:能将每一组输入信息变换为相应二进制的代码输出。

对M个信号编码时,应如何确定位数N?N位二进制代码可以表示多少个信号?例:对101键盘编码时,采用几位二进制代码?

编码原则:N位二进制代码可以表示2N个信号,则对M个信号编码时,应由2N≥M来确定位数N。例:对101键盘编码时,采用了7位二进制代码ASCⅡ码。27=128>101。5

如4线-2线编码器:将输入的4个状态分别编成4个2位二进制数码输出;8线-3线编码器:将输入的8个状态分别编成8个3位二进制数码输出;BCD编码器:将10个输入分别编成10个4位8421BCD码输出。编码器的分类:普通编码器优先编码器普通编码器:任何时候只允许一个编码输入信号有效,否则输出就会发生混乱。6

优先编码器:允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个进行编码。7

4输入

二进制码输出

I0

I1I2I3Y1Y01000010000100001(2)逻辑功能表编码器的输入为高电平有效。1.普通4线─2线编码器(1)逻辑框图Y1Y0000110118

(3)逻辑图9

该电路存在的问题:当所有的输入都为0时,电路的输出Y1Y0=?Y1Y0=00和真值表中第一行的输出编码相同,无法区分是哪个输入信号的编码。普通编码器不能同时输入两个以上的有效编码信号(1)分析要求:

输入有8个信号,即N=8,根据2n

N的关系,即n=3,即输出为三位二进制代码。例:设计一个编码器,满足以下要求:(1)将I0、I1、…I78个信号编成二进制代码。(2)编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。(3)

设输入信号高电平有效。001011101000010100110111I0I1I2I3I4I5I6I7(2)列编码表:输入输出Y2

Y1

Y0(3)写出逻辑式并转换成“与非”式Y2=I4+I5+I6+I7=I4I5I6I7...=I4+I5+I6+I7Y1=I2+I3+I6+I7=I2I3I6I7...=I2+I3+I6+I7Y0=I1+I3+I5+I7=I1I3I5I7...=I1+I3+I5+I7(4)画出逻辑图10000000111I7I6I5I4I3I1I2&&&1111111Y2Y1Y0将十进制数0~9编成二进制代码的电路2.二–十进制编码器表示十进制数4位10个编码器高低电平信号二进制代码

列编码表:四位二进制代码可以表示十六种不同的状态,其中任何十种状态都可以表示0~9十个数码,最常用的是8421码。000输出输入Y1Y2Y00(I0)1(I1)2(I2)3(I3)4(I4)5(I5)6(I6)7(I7)8(I8)9(I9)Y300011101000011110001101100000000001118421BCD码编码表写出逻辑式并化成“或非”门和“与非”门Y3=I8+I9.

=I4+

I6I5+I7Y2=I4+I5+I6+I7Y0=I1+I3+I5+I7+I9.=I1+I9I3+I7

I5+I7..

=I2+

I6I3+I7Y1=I2+I3+I6+I7画出逻辑图10000000011101101001&&&>1>1>1>1>1>1I1I2I3I4I5I6I7I8I9Y3Y2Y1Y0法二:十键8421码编码器的逻辑图+5V&Y3&Y2&Y1&Y0I0I1I2I3I4I5I6I7I8I91K×10S001S12S23S34S45S56S67S78S89S9

当有两个或两个以上的信号同时输入编码电路,电路只能对其中一个优先级别高的信号进行编码。

即允许几个信号同时有效,但电路只对其中优先级别高的信号进行编码,而对其它优先级别低的信号不予理睬。10.1.2优先编码器21

1.优先编码器74148逻辑图8个信号输入端0~71个使能输入端EI3个编码输出端A2~A01个编码器工作状态标志1个输出使能标志22

引脚图示意框图2.优先编码器74148的示意框图、引脚图3.优先编码器74148的逻辑功能表

输入

输出

EI

0

1

2

3

4

5

6

7

A2

A1

A0

GS

EO

1

×

×

×

×

×

×

×

×

1

1

1

1

1

0

1111

1

1

1

1

1

1

1

1

0

0

×

×

×

×

×

×

×

00

0

00

10

×

×

×

×

×

×

0

10

0

1

0

1

0

×

×

×

×

×

0

1

10

1

0

0

1

0

×

×

×

×

0

1

1

1

0

1

10

1

0

×

×

×

0

1

1

1

1

1

0

0

0

1

0

×

×

0

1

1

1

1

1

1

0

1

0

1

0

×

01

1

1111

1

1

0

0

1

0

0

1

1

1

1

1

11

1

1

1

0

1

EI=1,电路不工作,GS=EO=1,A2A1A0=111EI=0,电路工作,无有效低电平输入,A2A1A0=111,GS=1,EO=0;EI=0,电路工作,输入0_7分别有低电平输入时,A2A1A0为0_7的编码输出,GS=0,EO=1。CT740S4147编码器功能表I9Y0I8I7I6I5I4I3I2I1Y1Y2Y31111111111111输入(低电平有效)输出(8421反码)0

011010

0111110

10001110

100111110

1010111110

10111111110

110011111110

11011111111101110例:CT740S147集成优先编码器(10线-4线)T4147引脚图低电平有效16151413121110912345678CT740S414710.1.3集成电路编码器74148的应用

例4.1.1用二片74148构成16位输入、4位二进制码输出的优先编码器如图所示,试分析其工作原理。

I

0

I

1

I

2

I

3

I

4

I

5

I

6

I

7

I

8

I

9

I

10

I

11

I

12

I

13

I

14

I

15

EO

E

I

74148

(

)

74148

(

)

A

0

A

1

A

2

A

0

A

1

A

2

GS

GS

2

G

S

1

GS

&

A

&

B

&

C

&

GS

D

E

I

2

E

O

2

E

O

1

E

I

1

111

I

0

I

1

I

2

I

3

I

4

I

5

I

6

I

7

I

8

I

9

I

10

I

11

I

12

I

13

I

14

I

15

EO

E

I

74148

(

)

74148

(

)

A

0

A

1

A

2

A

0

A

1

A

2

GS

GS

2

G

S

1

GS

&

A

&

B

&

C

&

GS

D

E

I

2

E

O

2

E

O

1

E

I

1

10有编码请求1I8~I15

I

0

I

1

I

2

I

3

I

4

I

5

I

6

I

7

I

8

I

9

I

10

I

11

I

12

I

13

I

14

I

15

EO

E

I

74148

(

)

74148

(

)

A

0

A

1

A

2

A

0

A

1

A

2

GS

GS

2

G

S

1

GS

&

A

&

B

&

C

&

GS

D

E

I

2

E

O

2

E

O

1

E

I

1

0无编码请求00I0~I7

解:根据功能表对逻辑图进行分析(1)当时,,从而使,这时74148(Ⅰ)(Ⅱ)均禁止编码,它们的输出A2A1A0都是111.由电路图可知,,表示此时整个电路的代码输出端DCBA=1111是非编码输出。(2)当时,高位片(Ⅱ)允许编码,但若I15~I8都是高电平,即均无编码请求,则,从而,允许低位片(Ⅰ)编码。这时高位片的A2A1A0=111,使门C、B、A都打开,C、B、A取决于低位片的A2A1A0,而,总是等于1,所以输出代码在1111~1000之间变化。如果I0单独有效,输出为1111;(3)当且I15~I8中有编码请求(至少一个为低电平)时,,从而,高位片编码,低位片禁止编码。显然。高位片的编码级别优先于低位片。此时,C、B、A取决于高位片的A2A1A0,输出代码在0111~0000之间变化。同理可知,高位片中I15优先级别最高。如果I7及任意其他输入同时有效,则输出为1000,低位片以I7的优先级别最高。整个电路实现了16位输入的优先编码,优先级别从I15至I0依次递减。740S148电路的功能表例:八线—三线优先编码器740S148

740S148的逻辑功能描述:(1)编码输入端:逻辑符号输入端上面均有“—”号,这表示编码输入低电平有效。

I0~I7低电平有效允许编码,但无有效编码请求优先权最高

(2)编码输出端:从功能表可以看出,740S148编码器的编码输出是反码。Y2、Y1、Y01

(3)选通输入端:只有在=0时,编码器才处于工作状态;而在=1时,编码器处于禁止状态,所有输出端均被封锁为高电平。SS禁止状态工作状态允许编码,但无有效编码请求正在优先编码(4)选通输出端YS和扩展输出端YEX:为扩展编码器功能而设置。740S148的逻辑符号

以上通过对740S148编码器逻辑功能的分析,介绍了通过MSI器件逻辑功能表了解集成器件功能的方法。

要求初步具备查阅器件手册的能力。不要求背740S148的功能表。用740S148接成的16线—4线优先编码器优先权最高(2)片无有效编码请求时才允许(1)片编码编码输出的最高位编码输出为原码译码:译码器的分类:

唯一地址译码器代码变换器将一系列代码转换成与之对应的有效信号。将一种代码转换成另一种代码。

二进制译码器二—十进制译码器显示译码器常见的唯一地址译码器:

译码是编码的逆过程,即将某个二进制码翻译成特定的信号,即电路的某种状态。10.2.1译码器的基本概念及工作原理译码器:具有译码功能的逻辑电路称为译码器。10.2译码器和数字显示37

1.二进制译码器

当使能输入端EI为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平。n个输入端1个使能输入端EI2n个输出端输入

输出

EI

A

B

Y0

Y1

Y2

Y3

1

×

×

11

1

1

0

0

0

0

111

0

0

1

1

0

1

1

0

10

11

0

1

0

1

1

1

1

1

0

2.2线-4线译码器的逻辑电路功能表39

10.2.2集成电路译码器1.74138集成译码器

3个输入端3个控制端8个输出端74138集成译码器功表能

入输

出G1

G2A

G2B

CBAY0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

×1××××11111111×X1×××111111110×××××111111111000000111111110000110111111100010110111111000111110111110010011110111100101111110111001101111110110011111111110一个3线–8线译码器能产生三变量函数的全部最小项。基于这一点用该器件能够方便地实现三变量逻辑函数。74138的应用举例例1用74138组成脉冲信号变换电路2.集成二–十进制译码器——7442

功能:将8421BCD码译成为10个状态输出。4个输入端10个输出端功

表十进制数

BCD输入

输出

A3

A2

A1

A0

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

0

0

0

0

0

0

1

1

1

1

1

1

1

1

1

1

0

0

0

1

1

0

1

1

1

1

1

1

1

1

2

0

0

1

0

1

1

0

1

1

1

1

1

1

1

3

0

0

1

1

1

1

1

0

1

1

1

1

1

1

4

0

1

0

0

1

1

1

1

0

1

1

1

1

1

5

0

1

0

1

1

1

1

1

1

0

1

1

1

1

6

0

1

1

0

1

1

1

1

1

1

0

1

1

1

7

0

1

1

1

1

1

1

1

1

1

1

0

1

1

8

1

0

0

0

1

1

1

1

1

1

1

1

0

1

9

1

0

0

1

1

1

1

1

1

1

1

1

1

0

对于BCD代码以外的伪码(1010~1111这6个代码)Y0~Y9均无低电平信号产生。1.740S138的逻辑功能内部电路图负逻辑与非门译码输入端

S为控制端(又称使能端)

S=1译码工作

S=0禁止译码,输出全1输出端为便于理解功能而分析内部电路740S138的功能表译中为0高电平有效低电平有效禁止译码译码工作740S138的逻辑符号低电平有效输出三位二进制代码使能端740S138的逻辑功能三个译码输入端(又称地址输入端)A2、A1、A0,八个译码输出端,以及三个控制端(又称使能端)、、。、,是译码器的控制输入端,当=1、+=0(即=1,和均为0)时,GS输出为高电平,译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。S1S2S1S2S3S1S2S3S1S3S2Y0~Y7S3

当译码器处于工作状态时,每输入一个二进制代码将使对应的一个输出端为低电平,而其它输出端均为高电平。也可以说对应的输出端被“译中”。740S138输出端被“译中”时为低电平,所以其逻辑符号中每个输出端上方均有“—”符号。

Y0~Y72.二-十进制译码器

二—十进制译码器的逻辑功能是将输入的BCD码译成十个输出信号。二—十进制译码器740S42的逻辑符号二-十进制译码器740S42的功能表译中为0拒绝伪码74138工作条件

:G1=1,G2A=G2B=0例:用一个3线–8线译码器实现函数10.2.3集成译码器74138的应用

(1)功能扩展(利用使能端实现)用两片740S138译码器构成4线—16线译码器A3=0时,片Ⅰ工作,片Ⅱ禁止A3=1时,片Ⅰ禁止,片Ⅱ工作扩展位控制使能端(2)实现组合逻辑函数F(A,B,C)

比较以上两式可知,把3线—8线译码器740S138地址输入端(A2A1A0)作为逻辑函数的输入变量(ABC),译码器的每个输出端Yi都与某一个最小项mi相对应,加上适当的门电路,就可以利用译码器实现组合逻辑函数。例:试用740S138译码器实现逻辑函数:解:因为则

因此,正确连接控制输入端使译码器处于工作状态,将、、、、经一个与非门输出,A2、A1、A0分别作为输入变量A、B、C,就可实现组合逻辑函数。Y1Y3Y6Y5Y7

在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果,另一方面用于监视数字系统的工作情况。10.2.4七段显示译码器

在数字电路中,常常需要把运算结果用十进制数显示出来,这就要用显示译码器。数字显示电路是数字设备不可缺少的部分。数字显示电路通常由显示译码器、驱动器和显示器等部分组成,数字显示器件是用来显示数字、文字或者符号的器件,常见的有辉光数码管、荧光数码管、液晶显示器、发光二极管数码管、场致发光数字板、等离子体显示板等等。二十进制代码译码器驱动器显示器gfedcba

1.半导体数码管

由七段发光二极管构成例:共阴极接法a

b

c

d

e

f

g

01100001101101低电平时发光高电平时发光共阳极接法abcgdef+dgfecbagfedcba共阴极接法abcdefgabcdefg111111001100001101101

abcdfge共阴极显示器1.发光二极管(LED)及其驱动方式

LED具有许多优点,它不仅有工作电压低(1.5~3V)、体积小、寿命长、可靠性高等优点,而且响应速度快(≤100ns)、亮度比较高。一般LED的工作电流选在5~10mA,但不允许超过最大值(通常为50mA)。LED可以直接由门电路驱动。

图(a)是输出为低电平时,LED发光,称为低电平驱动;图(b)是输出为高电平时,LED发光,称为高电平驱动;采用高电平驱动方式的TTL门最好选用OC门。

门电路驱动LED(a)低电平驱动(b)高电平驱动R为限流电阻七段显示LED数码管(a)外形图(b)共阴型(c)共阳型2.LED数码管

LED数码管又称为半导体数码管,它是由多个LED按分段式封装制成的。LED数码管有两种形式:共阴型和共阳型。公共阴极公共阳极高电平驱动低电平驱动七段数码管字形显示方式

(1)七段字形显示方式LED数码管通常采用图3-15所示的七段字形显示方式来表示0-9十个数字。740S49的逻辑符号(2)七段显示译码器

灭灯控制端8421BCD码七段代码

七段显示器译码器把输入的BCD码,翻译成驱动七段LED数码管各对应段所需的电平。740S49是一种七段显示译码器。740S49的功能表8421BCD码禁止码灭灯状态

译码输入端:D、C、B、A,为8421BCD码;

七段代码输出端:abcdefg,某段输出为高电平时该段点亮,用以驱动高电平有效的七段显示0ED数码管;灭灯控制端:IB,当IB=1时,译码器处于正常译码工作状态;若IB=0,不管D、C、B、A输入什么信号,译码器各输出端均为低电平,处于灭灯状态。利用IB信号,可以控制数码管按照要求处于显示或者灭灯状态,如闪烁、熄灭首尾部多余的0等。740S49驱动LED数码管电路

图是一个用七段显示译码器740S49驱动共阴型LED数码管的实用电路。七段显示译码器状态表gfedcbaQ3Q2Q1Q0a

b

c

d

efg000011111100000101100001001011011012001111110013010001100114010110110115011010111116011111100007100011111118100111110119输入输出显示数码BS204A0A1A2A3CT740S247+5V来自计数器七段译码器和数码管的连接图510Ω×7abcdefgRBIBI0TA11A220T3BI4RBI5A36A07GND8911101213141516+UCCCT740S247CT740S247型译码器的外引线排列图abcdefg2.集成电路显示译码器7448逻辑图4个输入端3个控制端7个输出端7448功能框图ABCagb...0TRBIBI/RBO744872

十进制或功能

输入

BI/RBO

输出

字形

0T

RBI

D

C

B

A

a

b

c

d

e

f

g

0

1

1

0

0

0

0

1

1

1

1

1

1

1

0

1

1

×

0

0

0

1

1

0

1

1

0

0

0

0

2

1

×

0

0

1

0

1

1

1

0

1

1

0

1

3

1

×

0

0

1

1

1

1

1

1

1

0

0

1

14

1

×

1

1

1

0

1

0

0

0

1

1

1

1

消隐脉冲消隐灯测试

×

×

×

×

×

×

0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

×

×

×

×

×

1

1

1

1

1

1

1

1

逻辑功能

(2)集成电路显示译码器7448功能输入BI/RBO

输出字形0T

RBI

D

C

B

A

a

b

c

d

e

f

g

消隐脉冲消隐灯测试××××××0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

×××××1

1

1

1

1

1

1

1

逻辑功能

灭灯输入BI/RBO:该控制端有时作为输入,有时作为输出。当BI/RBO用作输入且BI=0时,无论其他输入端是什么电平,所有各段输出a~g为0,所以字形熄灭,故称“消隐”。

动态灭零输入RBI:当0T=1,RBI=0且输入代码DCBA=0000时,各段输出a~g均为低电平,与BCD码相应的字形熄灭,故称“灭零”

动态灭零输出RBO:BI/RBO作为输出使用时,受控于0T和RBI。当0T=1且RBI=0,输入代码DCBA=0000时,RBO=0;若0T=0或者0T=1且RBI=1,则RBO=1。试灯输入0T:当0T=0时,BI/RBO是输出端,且RBO=1,此时无论其他输入端是什么状态,所有各段输出a~g均为1,显示字形8。状态表

例:三位二进制译码器(输出高电平有效)输入ABCY0Y1Y2Y3Y4Y5Y6Y70001000000000101000000010001000000110001000010000001000101000001001100000001011100000001输出写出逻辑表达式Y0=ABCY1=ABCY2=ABCY3=ABCY7=ABCY4=ABCY6=ABCY5=ABC逻辑图CBA111&&&&&&&&Y0Y1Y2Y3Y4Y5Y6Y701110010000000AABBCC例:利用译码器分时将采样数据送入计算机总线2-4线译码器ABCD三态门三态门三态门三态门译码器工作总线译码器工作工作原理:(以A0A1=00为例)000总线2-4线译码器ABCD三态门三态门三态门三态门脱离总线数据全为“1”总线2-4线译码器ABCD三态门三态门三态门三态门译码器工作工作原理:(以A0A1=00为例)000脱离总线数据全为“1”CT740S139型译码器(a)外引线排列图;(b)逻辑图(a)GND1Y31Y21Y11Y01A11A01S876543212Y22Y32Y11Y02A12A02S+UCC109161514131211CT740S139(b)11111&Y0&Y1&Y2&Y3SA0A1双2/4线译码器A0、A1是输入端Y0~Y3是输出端

S是使能端

输入输出SA0A1Y0110000011001101110139功能表Y1Y2Y3111011101110111CT740S139型译码器双2/4线译码器A0、A1是输入端Y0~Y3是输出端

S是使能端S=0时译码器工作输出低电平有效10.3数据选择器和数据分配器

在数字电路中,当需要进行远距离多路数字传输时,为了减少传输线的数目,发送端常通过一条公共传输线,用多路选择器分时发送数据到接收端,接收端利用多路分配器分时将数据分配给各路接收端,其原理如图所示。使能端多路选择器多路分配器发送端接收端IYD0D1D2D3SA1A0传输线A0A1D0D1D2D3S数据选择控制数据分配控制10.3.1数据分配器数据输入控制信号使能端DY0Y1Y2Y3SA1A0数据输出端确定芯片是否工作确定将信号送到哪个输出端数据分配器:相当于有多个输出的单刀多掷开关,将从一个数据源来的数据分时送到多个不同的通道上去的逻辑电路。数据分配器的功能表Y3Y2Y1Y0使能控制输出SA0A110000001100110D00000D00000D00000D例:用译码器实现数据分配器0101输入输出G1

G2B

G2A

CBAY0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

00XXXX1111111110D000D111111110D0011D11111110D01011D1111110D011111D111110D1001111D11110D10111111D1110D110111111D110D1111111111D74138译码器作为数据分配器时的功能表10.3.2数据选择器从多路数据中选择其中所需要的一路数据输出。例:四选一数据选择器输入数据输出数据使能端D0D1D2D3WSA1A0控制信号1.数据选择器的概念

在多路数据传送过程中,能够根据需要将其中任意一路挑选出来的电路,叫做数据选择器,也称为多路选择器,其作用相当于多路开关。常见的数据选择器有四选一、八选一、十六选一电路。以四选一数据选择器为例。

(1)四选一数据选择器的逻辑电路图四选一数据选择器电路2.数据选择器的工作原理地址输入端控制输入端数据输入端输出端(2)四选一数据选择器的功能表四选一数据选择器的功能表输入输出S

A1

A0Y0××0100D0101D1110D2111D33.集成数据选择器740S151三个地址输入端A2、A1、A0,八个数据输入端D0~D7,两个互补输出的数据输出端Y和Y,一个控制输入端S。740S151的逻辑符号

8路数据输入端3

个地址输入端

1个使能输入端2个互补输出端740S151的逻辑图(1)集成电路数据选择器740S151的逻辑图93

D7WYEN740S151D6D5D4D3D2D1D0CBA740S151功能框图740S151引脚图(2)740S151示意框图和引脚图(3)740S151的功能表输入

输出

使能

选择

Y

W

EN

C

B

A

1

X

X

X

0

1

0

0

0

0

D0

0

0

0

1

D1

0

0

1

0

D2

0

0

1

1

D3

0

1

0

0

D4

0

1

0

1

D5

0

1

1

0

D6

0

1

1

1

D7

当EN=0时,Y的表达式为:

当EN=1时,Y=1。无效输出。4.数据选择器的应用(1)位的扩展:二位八选一的连接方法(2)字的扩展:

16选1数据选择器:数据输入端:16路通道地址码:4位。16选1数据选择器16选1数据选择器的连接

(3)数据选择器组成逻辑函数产生器当EN=0时:输出Y的表达式为:

控制Di,就可得到不同的逻辑函数。D7WYEN740S151D6D5D4D3D2D1D0CBA0a、将函数变换成最小项表达式b、将使能端EN接低电平c、地址信号C、B、A作为函数的输入变量d、数据输入D0~D7作为控制信号

组成函数产生器的一般步骤例:试用8选1数据选择器740S151产生逻辑函数0=m3D3+m5D5+m6D6+m7D7D3=D5=D6=D7=1,D0=D1=D2=D4=0,解:将逻辑函数化为最小项表达式:74151的输出即为逻辑函数0。当,001010011100101110111=D1=1=D2=0=D3=0=D4=1=D5=1=D6=0=D7=1用8选1数据选择器实现并行数据到串行数据的转换并

入串

出八选一数据选择器三位二进制计数器

740S151的功能表

禁止状态工作状态1.功能扩展

用两片八选一数据选择器740S151,可以构成十六选一数据选择器。

利用使能端(控制端)。用740S151构成十六选一数据选择器

扩展位接控制端A3=1时,片Ⅰ禁止,片Ⅱ工作A3=0时,片Ⅰ工作,片Ⅱ禁止输出需适当处理(该例接或门)2.实现组合逻辑函数

比较可知,表达式中都有最小项mi,利用数据选择器可以实现各种组合逻辑函数。组合逻辑函数8选14选1

例:试用八选一电路实现

解:将A、B、C分别从A2、A1、A0输入,作为输入变量,把Y端作为输出F。因为逻辑表达式中的各乘积项均为最小项,所以可以改写为根据八选一数据选择器的功能,令具体电路见图D0=D3=D5=D7=1D1=D2=D4=D6=0S=0ABCF00010010010001111000101111001111真值表对照法注意变量高低位顺序!

例:试用八选一电路实现三变量多数表决电路。ABCF00000010010001111000101111011111解:假设三变量为A、B、C,表决结果为F,则真值表如表所示。

在八选一电路中,将A、B、C从A2、A1、A0输入,令D3=D5=D6=D7=1D0=D1=D2=D4=0S=0F=Y则可实现三变量多数表决电路,具体电路图请读者自行画出。则方法1用八选一数据选择器实现三变量多数表决器真值表及八选一数据选择器功能如表所示。A2A1A0FDi00000101001110010111011100010111D0D1D2D3D4D5D6D7

方法2:用四选一数据选择器实现

公式确定Di如下:与四选一方程对比

为使Y=F则令逻辑电路如图用8选1实现用4选1实现例3:试用8选1MUX实现逻辑函数:

解:首先求出F的最小项表达式。将F填入K图,根据K图可得当采用8选1MUX时,有令A2=A,A1=B,A0=C,且令D1=D2=D3=D4=D5=D7=1,D0=D6=0故F=Y。用8选1MUX实现函数F的逻辑图如图所示。

需要注意的是,因为函数F中各最小项的标号是按A、B、C的权为4、2、1写出的,因此A、B、C必须依次加到A2、A1、A0端。

若用8选1实现4变量的函数,或者用4选1实现3变量的函数,即地址输入端的个数比变量个数小1,如何实现?如:ABCF00010010010001111000101111001111输入输出S

A1

A0Y0××0100D0101D1110D2111D311&111&&&>1YD0D1D2D3A0A1S1000000“与”门被封锁,选择器不工作。CT740S153型4选1数据选择器11&111&&&>1YD0D1D2D3A0A1S01D0000“与”门打开,选择器工作。由控制端决定选择哪一路数据输出。选中D0001100CT740S153型4选1数据选择器由逻辑图写出逻辑表达式CT740S153功能表使能选通输出SA0A1Y10000001100110D3D2D1D01SA11D31D21D11D01W地CT740S153(双4选1)2D32D22D12D02WA02SUCC15141312111091613245678多路选择器广泛应用于多路模拟量的采集及A/D转换器中。用2片CT740S153多路选择器选择8路信号若A2A1A0=010,输出选中1D2路的数据信号。CT740S153(双4选1)2D32D22D12D02WA02SUCC1514131211109161SA11D31D21D11D01W地13245678A0A1A2116选1数据选择器(1)1A2A1A0A0A1A2(2)≥1YD7D6D1D0D15D14D9D8...D15D14...D9D8...D0D1...D6D7SSABCSY1Y3用2片CT740S151型8选1数据选择器构成具有16选1功能的数据选择器CT740S151功能表选通选择输出SA0A2Y100000D3D2D1D0A20D40D50D60D7000101000011100110101111例:用CT740S151型8选1数据选择器实现逻辑函数式

Y=AB+BC+CA解:将逻辑函数式用最小项表示

将输入变量A、B、C分别对应地接到数据选择器的选择端A2、A1、

A0。由状态表可知,将数据输入端D3、D5、

D6、

D7

接“1”,其余输入端接“0”,即可实现输出Y,如图所示。

将输入变量A、B、C分别对应地接到数据选择器的选择端A2、A1、

A0。由状态表可知,将数据输入端D3、D5、

D6、

D7接“1”,其余输入端接“0”,即可实现输出Y,如图所示。。CT740S151功能表选通选择输出SA0A2Y100000D3D2D1D0A20D40D50D60D7000101000011100110101111CT740S151ABCYSD7D6D5D4D3D2D1D0“1”10.4数值比较器

10.4.1数值比较器的定义及功能

数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。1.1位数值比较器

将两个1位二进制数A、B进行比较123

输入

输出

A

B

FA>B

FA<B

FA=B

0

0

0

0

1

0

1

0

1

0

1

0

1

0

0

1

1

0

0

1

1位比较器真值表

逻辑表达式1位数值比较器的逻辑图2.2位数值比较器将两个2位二进制数A1A0、B1B0进行比较:当高位相等时,两数的比较结果由低位比较的结果决定。

逻辑表达式2位数值比较器真值表当高位(A1、B1)不相等时,无需比较低位(A0、B0),两个数的比较结果由高位比较的结果决定。FA>B=(A1>B1)+(A1=B1)(A0>B0)FA=B=(A1=B1)(A0=B0)FA<B=(A1<B1)+(A1=B1)(A0<B0)0

0

1

0

1

0

1

0

0

A0>B0

A0<B0

A0=B0

A1=B1

A1=B1

A1=B1

0

1

0

×

A1<B1

0

0

1

×

A1>B1

FA=B

FA<B

FA>B

A0

B0

A1

B1

输出

输入

逻辑图两位数值比较器逻辑图3.多位数值比较器的设计原则

先从高位比起,高位不等时,数值的大小由高位确定。若高位相等,则再比较低位数,比较结果由低位的比较结果决定。1.集成数值比较器740S85的功能10.4.2集成数值比较器

740S85是四位数值比较器,其工作原理和两位数值比较器相同。级联输入便于功能扩展740S85740S85的引脚图

740S85比较器不仅能比较两个4位二进制数的大小,还能接受其它芯片比较结果的输出。740S85的示意框图740S85的功能表输入级联输入输出A3,B3A2,B2A1,B1A0,B0IA>BIA<BIA=BFA>BFA<BFA=B10××××××10001××××××010A3=B310×××××100A3=B301×××××010A3=B3A2=B210××××100A3=B3A2=B201××××010A3=B3A2=B2A1=B110×××100A3=B3A2=B2A1=B101×××010A3=B3A2=B2A1=B1A0=B0100100A3=B3A2=B2A1=B1A0=B0010010A3=B3A2=B2A1=B1A0=B0001001A3=B3A2=B2A1=B1A0=B0××1001130

输入

输出

A3B3

A2B2

A1B1

A0B0

IA>B

IA<B

IA=B

FA>B

FA<B

FA=B

A3

>B3

×

×

×

×

×

×

1

0

0

A3

<B3

×

×

×

×

×

×

0

1

0

A3

=B3

A2

>B2

×

×

×

×

×

1

0

0

A3

=B3

A2

<B2

×

×

×

×

×

0

1

0

A3

=B3

A2

=B2

A1

>B1

×

×

×

×

1

0

0

A3

=B3

A2

=B2

A1

<B1

×

×

×

×

0

1

0

A3

=B3

A2

=B2

A1

=B1

A0

>B0

×

×

×

1

0

0

A3

=B3

A2

=B2

A1

=B1

A0

<B0

×

×

×

0

1

0

A3

=B3

A2

=B2

A1

=B1

A0

=B0

1

0

0

1

0

0

A3

=B3

A2

=B2

A1

=B1

A0

=B0

0

1

0

0

1

0

A3

=B3

A2

=B2

A1

=B1

A0

=B0

×

×

1

0

0

1

A3

=B3

A2

=B2

A1

=B1

A0

=B0

1

1

0

0

0

0

A3

=B3

A2

=B2

A1

=B1

A0

=B0

0

0

0

1

1

0

4位数值比较器740S85功能表用两片7485组成8位数值比较器(串联扩展方式)。低位片高位片低四位高四位输出

在位数较多且要满足一定的速度要求时采取并联方式,它比串联扩展方式工作速度快。2.集成数值比较器的位数扩展用7485组成16位数值比较器的并联扩展方式。B3A3~B0A0B7A7~B4A4B11A11~B8A8B15A15~B12A12输出部分常用的MSI组合逻辑电路的型号、名称和主要功能表型号名称主要功能740S14710线-4线优先编码器

740S1488线-3线优先编码器

740S1498线-8线优先编码器

740S424线-10线译码器BCD输入740S1544线-16线译码器

740S46七段显示译码器BCD输入、开路输出740S47七段显示译码器BCD输入、开路输出740S48七段显示译码器BCD输入、带上拉电阻740S49七段显示译码器BCD输入、OC输出740S15016选1数据选择器反码输出740S1518选1数据选择器原、反码输出740S153双4选1数据选择器

740S2518选1数据选择器原、反码输出,三态740S854位数值比较器

740S8668位数值比较器

型号名称主要功能CC4014710线-4线优先编码器BCD输出CC45328线-3线优先编码器

CC4555双2线-4线译码器

CC45144线-16线译码器有地址锁存CC4511七段显示译码器锁存输出、BCD输入CC4055七段显示译码器BCD输入、驱动液晶显示器CC4056七段显示译码器BCD输入、有选通、锁存CC4519四2选1数据选择器

CC45128路数据选择器

CC40634位数值比较器

CC4014710线-4线优先编码器BCD输出加法器:实现二进制加法运算的电路进位如:0

0

0

0

11+10101010不考虑低位来的进位半加器实现要考虑低位来的进位全加器实现

算术运算是数字系统的基本功能,更是计算机中不可缺少的组成单元。10.5加法器10.5.1半加器(HalfAdder)

半加:实现两个一位二进制数相加,不考虑来自低位的进位。AB两个输入表示两个同位相加的数两个输出SC表示半加和表示向高位的进位逻辑符号:COABSC半加器逻辑状态表A

B

S

C0000011010101101逻辑表达式逻辑图&=1..ABSC10.5.2全加器(FullAdder)

输入Ai表示两个同位相加的数BiCi-1表示低位来的进位输出表示本位和表示向高位的进位CiSi

全加:实现两个一位二进制数相加,且考虑来自低位的进位。逻辑符号:AiBiCi-1SiCiCOCI1.列真值表2.写出逻辑式Ai

Bi

Ci-1

Si

Ci

0000000110010100110110010101011100111111逻辑图&=1>1AiCiSiCi-1Bi&&半加器构成的全加器>1BiAiCi-1SiCiCOCO10.5.3多位加法器

740S283电路是一个四位加法器电路,可实现两个四位二进制数的相加,其逻辑符号如图所示。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论