版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、可参数化宏模块及IP核的使用可参数化宏模块及IP核概述高端FPGA逐渐应用在中高端的路由器、交换机和3G系统中,完成复杂的协议处理、流量调度和高速数字信号处理的实现。为了满足FPGA在系统中所完成的功能,FPGA生产厂商在其中集成了许多系统级的功能模块,包括可参数化宏模块和IP核。可参数化宏模块和IP核二者的区别:以ALTERA公司的FPGA为例,其可参数化宏模块就附带在QUARTUSII软件中;IP核不附带在QUARTUSII软件中,需要向ALTERA公司购买或申请试用版,得到IP核后安装在计算机上,安装完成后即可在QUARTUSII软件中使用。1、可参数化宏模块可参数化宏模块是FPGA中包
2、含的功能模块,这些模块都可以根据需要设定其参数,然后可以作为一个元件被调用,既可用于原理图的编辑,也可用于文本编辑(比如VHDL描述)中的元件例化。1.1可参数化宏模块(ROM)的使用可参数化宏模块的使用主要是根据具体设计功能的需要,设定FPGA中内嵌的功能模块的具体参数;可参数化宏模块ROM的使用操作步骤:步骤一:首先要建立ROM内的数据文件;QUARTUSII开发环境支持的初始化数据文件有两种:.mif格式和.hex格式步骤二:利用“MegaWizardPlug-In Manger”定制ROM宏模块,并将建立好的ROM数据文件加载到该ROM中;定制完成后,可生成的文件有:.vhd、.inc
3、、.cmp、.bsf。在用画原理图方式设计系统顶层文件时,要用到该定制的ROM时就用.bsf后缀的文件在用vhdl设计系统顶层文件时,用到该定制的ROM时就用.vhd后缀的文件进行元建例化。一、建立ROM内的数据文件具体操作(1)选择要建立的数据文件QUARTUSII主界面、FILE、NEW、other files、MEMORY INITIALIZATION FILE图1 QUARTUSII主界面、FILE菜单图2选择数据文件一、建立ROM内的数据文件具体操作(续)(2)设置数据:数据的宽度数据的深度填入数据并设置数据类型(3)填入数据并设置数据类型图3设置数据图4填入数据设置数据类型一、建立
4、ROM内的数据文件(续)保存文件,文件名为lpm_rom.mif图5 保存文件二、定制ROM宏模块步骤一:利用“MegaWizard Plug-In Manger”定制ROM宏模块,并将建立好的ROM数据文件加载到该ROM中;QUARTUSII主界面、TOOLS、 MegaWizard Plug-In Manger、Creat a new custom megafunction variation图6 QUARTUSII主界面中TOOLS菜单图7创建新的宏模块二、定制ROM宏模块(续)图8选择ROM宏模块二、定制ROM宏模块(续) 步骤二:设置地址线位宽和数据深度(数据数)、时钟控制信号的选择
5、如图9所示。图9二、定制ROM宏模块(续) 步骤三:寄存器和输出使能设置,如图10所示图10寄存器和输出使能设置二、定制ROM宏模块(续) 步骤四:数据文件的指定,如图11所示图11数据文件的指定二、定制ROM宏模块(续)步骤五: 定制完宏模块可产生文件的指定图12定制ROM信息概要定制完成的ROM宏模块元件符号Address5.0为地址总线输入端;Clock时钟信号输入端;Q7.0数据总线输出端。定制ROM生成的VHDL程序1.2利用定制完成的ROM宏模块设计一个正选信号发生器设计要求:正弦信号每周期采样64点,每点数据为8位宽;设计原理:正弦信号的数据ROM采用6位地址线和8位数据线;寻址
6、地址由地址发生器产生,该地址发生器由6位二进制计数器实现。输出数据由8位D/A转换成模拟信号。系统框图如图14所示。设计方法:通过原理图编辑完成系统顶层设计;数据存储ROM调用前面定制完成的宏模块;地址发生器采用VHDL编程实现。图14正弦信号发生器的系统框图地址发生器的VHDL描述library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity address_gen isport(clk:in std_logic;address1:out std_logic_vector(5 downto 0);
7、end address_gen;architecture one of address_gen issignal cnt:std_logic_vector(5 downto 0);beginprocess(clk)beginif (clkevent and clk=1) thencnt=cnt+1;end if;end process;address1=cnt;end one;顶层原理图设计图15 设计完成的系统顶层原理图顶层设计仿真结果2、NCO IP核的使用IP核是具有知识产权(IntellectualProperty)的集成电路芯核的简称,其作用是把一组拥有知识产权的电路设计集合在一起,
8、构成芯片的基本单位,以供设计时搭积木之用。其实可以把IP理解为一颗ASIC,以前是ASIC做好以后供设计人员在PCB上使用,现在是IP核做好以后让设计人员集成在更大的芯片里。IP核的使用和宏模块的使用相似,购买到的IP核安装在计算机上后,在QUARTUSII主菜单TOOLS下MegaWizard Plug-In Manager 对话框左侧栏中的“communication”“dsp”和“interface”选项里会出现所安装的IP核,比如FIR滤波器、PCI总线、NCO数控振荡器等。2.1 NCO IP核的创建步骤一:选择NCO IP核QUARTUSII主菜单TOOLS下MegaWizard
9、Plug-In Manager 、Creat a new custom megafunction variation对话框左侧栏中的dsp下选择signal generation NCO V7.2选项,如图19所示,选择器件和语言,并给出保存路径。图18产生一个新的定制模块图17 QUARTUSII主菜单TOOLS2.1 NCO IP核的创建(续)选择NOC IP核选择FPGA图19选择NCO IP核给出文件路径2.1 NCO IP核的创建(续)步骤二:进入设置参数单击NEXT按钮,弹出如图所示参数设置工具栏单击DISPLAY SYMBOL按钮可以显示NCO的电路符号设置参数按钮生成仿真文件按
10、钮生成NOC设计文件按钮生成的电路符号图20 NOCIP核的参数设置工具栏及电路符号2.1 NCO IP核的创建(续)步骤三:设置参数单击STEP1按钮,弹出如图21对话框图21 NOC IP核参数设置2.1 NCO IP核的创建(续)单击IMPLEMENTATION页面,设置是否选择频率调制输入和相位调制输入,选择目标器件。最后单击FINISH按钮,完成参数设置。2.1 NCO IP核的创建(续)单击Resource estimate页面,进入图22所示对话框,可以看到创建的NCO占用的资源信息。图222.1 NCO IP核的创建(续)步骤四:生成仿真文件(此步不是必需的)单击参数设置工具栏
11、中的STEP2按钮,进入图23所示对话框,勾选GENERATESIMULATIONMODEL选项,选择仿真文件的语言格式。图232.1 NCO IP核的创建(续)生成的波形仿真文件NOC.VEC如图所示:2.1 NCO IP核的创建(续)步骤五:生成NCO设计文件单击参数设置工具栏中的STEP3按钮,生成NOC的设计文件,进入图24所示对话框,此对话框中可以看到所创建的NCO的详细信息。到此NOC IP核创建完成。图24创建的NCO的详细信息2.2 创建NCO IP核的特征生成的NCO电路符号如图25所示Pin_inc_i31.0为频率字输入端;Reset_in为复位信号输入端;Clk时钟信号输入端;Clken时钟使能信号输入端;Fsin_o17.0正弦信号输出端;Fcos_o17.0余弦信号输出端;Out_vai
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
评论
0/150
提交评论