QuartusⅡ软件入门(全加器)_第1页
QuartusⅡ软件入门(全加器)_第2页
QuartusⅡ软件入门(全加器)_第3页
QuartusⅡ软件入门(全加器)_第4页
QuartusⅡ软件入门(全加器)_第5页
已阅读5页,还剩63页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、QuartusQuartus软件入门软件入门( (全加器全加器) )电子技术实验电子技术实验(II)(II)问题的提出n设计一个设计一个数字钟数字钟,使之完成以下功能:,使之完成以下功能:q实现时、分、秒的计时;时可采取实现时、分、秒的计时;时可采取1212小时计时小时计时也可采取也可采取2424小时计时;具有异步清零和启动小时计时;具有异步清零和启动/ /停止功能;并可调整时间。停止功能;并可调整时间。q用数码管显示时分秒;具有整点报时功能;用数码管显示时分秒;具有整点报时功能;q可继续设计日、星期、月、年等其他万年历功可继续设计日、星期、月、年等其他万年历功能。能。q可设计秒表功能,闹钟功

2、能。可设计秒表功能,闹钟功能。0d58b4b8 最强兵王 1. 根据设计要求划分功能模块;根据设计要求划分功能模块;2. 2. 确定输入和输出的关系,画出确定输入和输出的关系,画出真值表真值表,写出,写出逻辑表达式;逻辑表达式;4. 4. 利用公式或卡诺图进行利用公式或卡诺图进行人工化简人工化简;5. 5. 根据根据化简后的逻辑表达式化简后的逻辑表达式画出电路原理图画出电路原理图;6. 6. 在面包板上进行实验,在面包板上进行实验,验证验证电路的正确性;电路的正确性;7. 7. 若若无无错误,再在透明薄膜上用贴图符号错误,再在透明薄膜上用贴图符号贴贴PCBPCB图图;8. 8. 检查后送制板厂

3、制板;检查后送制板厂制板;9. 9. 对对PCBPCB板进行安装、调试,若有大的错误,修改设计,重复以板进行安装、调试,若有大的错误,修改设计,重复以上过程,重新制板。上过程,重新制板。搭积木的方式!基于电路板的设计方法采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能传统的数字系统设计方法采用自下而上(Bottom Up)的设计方法采用通用型逻辑器件搭积木式的方式 在系统硬件设计的后期进行仿真和调试 主要设计文件是电路原理图 传统的数字系统设计方法的缺点效率低下所有这一切,几乎都是手工完成!设计周期很长;容易出错;芯片种类多,数量大,受市场的限制;设计灵活性差;产品体积大。解决方

4、案2现代的数字系统设计方法n首先在计算机上安装EDA软件,它们能帮助设计者自动完成几乎所有的设计过程;再选择合适的PLD芯片,可以在一片芯片中实现整个数字系统。基于芯片的设计方法采用PLD(可编程逻辑器件),利用EDA开发工具,通过芯片设计来实现系统功能。EDA软件空白PLD+数字系统编程现代的数字系统设计方法(续1)1.根据设计要求划分功能模块2. PLD开发(利用EDA工具)(1 1)设计输入设计输入:采用采用原理图原理图或或硬件描述语言硬件描述语言(HDLHDL),描述出,描述出输输入和输出的逻辑关系,将整个原理图或程序输入到计算机中;入和输出的逻辑关系,将整个原理图或程序输入到计算机中

5、;(2 2)设计的编译设计的编译:EDAEDA工具可自动进行工具可自动进行逻辑综合逻辑综合,将功能描述转,将功能描述转换为门级描述,或转换成具体换为门级描述,或转换成具体PLDPLD的网表文件,将网表文件自动的网表文件,将网表文件自动适配到具体芯片中进行适配到具体芯片中进行布局布线布局布线;(3 3)功能仿真功能仿真和和时序仿真时序仿真;(4 4)编程下载编程下载到实际芯片中,在实验台上进行验证;到实际芯片中,在实验台上进行验证;(5 5)在每一阶段若)在每一阶段若有问题,有问题,可可在计算机上直接修改设计,重复以在计算机上直接修改设计,重复以上过程。上过程。现代的数字系统设计方法(续2)3.

6、 设计包含PLD芯片的电路板(1)在计算机上利用)在计算机上利用EDA软件画软件画电路原理图电路原理图;(2) 进行电气规则检查无误后,自动生成网表文件;进行电气规则检查无误后,自动生成网表文件;(3) 利用利用EDA软件画软件画PCB图图,自动布线;,自动布线;(4) 自动进行设计规则检查,无误后输出文件,制板。自动进行设计规则检查,无误后输出文件,制板。 优点:效率高所有这一切,几乎都是借助计算机利用EDA软件自动完成!容易检查错误,便于修改;设计周期短、成功率很高 ;产品体积小。现代的数字系统设计方法通常采用自上而下(Top Down)的设计方法采用可编程逻辑器件 在系统硬件设计的早期进

7、行仿真主要设计文件是用硬件描述语言编写的源程序降低了硬件电路设计难度自行定义器件内部的逻辑和引脚写出真值表或状态表 EDA开发工具自动进行逻辑综合 模拟仿真编程下载到PLD中基于芯片采用PLD,利用EDA开发工具,通过芯片设计来实现系统功能。什么是EDA技术?nEDA(Electronic Design Automation,电子设计自动化)q是在计算机的辅助下完成电子产品设计的一种先进的硬件设计技术! q是立足于计算机工作平台开发出来的一整套先进的设计电子系统的软件工具。计算机并口器件编程接口PCB BoardPLD编程目标文件EDA技术的范畴模拟电路模拟电路数字电路数字电路混合电路混合电路

8、设计输入设计输入逻辑综合逻辑综合仿真仿真编程下载编程下载本课程内容!学习EDA到底有什么用呢?真有趣,可以按自己的想法设计一个芯片!我也要参加全国大学我也要参加全国大学生电子设计竞赛!生电子设计竞赛!呀,毕业设计正好能用得上哎!原来在一个芯片里就可以设计一个完整的计算机系统呀!找工作时也算得上一技之长哦!n电子设计自动化(电子设计自动化(Electronic Design AutomationElectronic Design Automation,EDAEDA)技术是)技术是以以计算机科学计算机科学和和微电子技术微电子技术发展为先导,汇集了发展为先导,汇集了计算机图形学计算机图形学、拓扑逻辑

9、学拓扑逻辑学、微电子工艺与结构学微电子工艺与结构学和和计算数学计算数学等多种计算机应用等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从出的一整套电子系统设计的软件工具。从2020世纪世纪6060年代中期开始,年代中期开始,人们不断开发出各种计算机辅助设计工具来帮助设计人员进行人们不断开发出各种计算机辅助设计工具来帮助设计人员进行集集成电路和电子系统成电路和电子系统的设计,集成电路技术的不断发展对的设计,集成电路技术的不断发展对EDAEDA技术提技术提出新的要求,并促进了出新的要求

10、,并促进了EDAEDA技术的发展。技术的发展。EDAEDA及其发展及其发展 第一阶段:计算机辅助设计(第一阶段:计算机辅助设计(CAD阶段)阶段) 第二阶段:电子设计自动化(第二阶段:电子设计自动化(EDA)阶段阶段 第三阶段:第三阶段:电子设计自动化(电子设计自动化(EDA)的发展阶段的发展阶段EDAEDA工具工具n电路仿真工具: 主要用于模拟电路和数字电路的仿真,常见的有SPICEPSPICE 、EWB、MULTISIM等;n电路板级设计工具: 常见的有PROTEL、POWER PCB等;n可编程器件开发工具: 常见的有MAXPLUS II、QUARTUS II、MATLABDSP BUI

11、LDER等。 EDA EDA即电子设计自动化技术,是利用计算机工作即电子设计自动化技术,是利用计算机工作平台,从事电子系统和电路设计的一项技术。平台,从事电子系统和电路设计的一项技术。 EDAEDA技术为电子系统设计带来了很大的变化:技术为电子系统设计带来了很大的变化:(1 1)设计效率提高,设计周期缩短;)设计效率提高,设计周期缩短;(2 2)设计质量提高;)设计质量提高;(3 3)设计成本降低;)设计成本降低;(4 4)能更充分地发挥设计人员的创造性;)能更充分地发挥设计人员的创造性;(5 5)设计成果的重用性大大提高,省去了不必要的重复劳动。)设计成果的重用性大大提高,省去了不必要的重复

12、劳动。 EDAEDA设计方法设计方法 传统设计方法传统设计方法 vsvs EDA EDA设计方法设计方法 传统设计方法传统设计方法EDAEDA设计方法设计方法自底向上自底向上手动设计手动设计软硬件分离软硬件分离原理图设计方式原理图设计方式系统功能固定系统功能固定不易仿真不易仿真难测试修改难测试修改模块难移植共享模块难移植共享设计周期长设计周期长自顶向上自顶向上自动设计自动设计打破软硬件屏障打破软硬件屏障原理图、原理图、HDLHDL等设计方式等设计方式系统功能易改系统功能易改易仿真易仿真易测试修改易测试修改模块可移植共享模块可移植共享设计周期短设计周期短17本课程要学习的PLD设计EDA工具软件

13、 Quartus n美国Altera公司自行设计的第四代PLD开发软件n可以完成PLD的设计输入、逻辑综合、布局与布线、仿真、时序分析、器件编程的全过程n同时还支持SOPC(可编程片上系统)设计开发QuartusQuartus简介简介 QuartusQuartus提供了方便的设计输入方式、快速的提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。了无缝接口。QuartusQuartus支持的器件有:支持的器件有:Stra

14、tixStratix 、StratixStratix GX GX、StratixStratix、MercuryMercury、MAX3000AMAX3000A、MAX MAX 7000B7000B、MAX 7000SMAX 7000S、MAX 7000AEMAX 7000AE、MAX MAX 、FLEX6000FLEX6000、FLEX10KFLEX10K、FLEX10KAFLEX10KA、FLEX10KEFLEX10KE、CycloneCyclone、Cyclone Cyclone 、APEX APEX 、APEX20KCAPEX20KC、APEX20KEAPEX20KE和和ACEX1KAC

15、EX1K系列。系列。QuartusQuartus软件包的编程器是系统的核心,提供功能强软件包的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。高芯片的利用率。 设计流程设计流程设计准备设计准备设计输入设计输入设计处理设计处理器件编程器件编程功能仿真功能仿真时序仿真时序仿真器件测试器件测试QuartusQuartus II II软件的设计过程主要包括:软件的设计过程主要包括:建立项目建立项目输入设计电路(可采用不同方式)输入设计电路(可采用不同方式)设计编译设计编译设计仿真设计仿真设计下载设计下载 Quar

16、tusQuartus设计流程介绍设计流程介绍QuartusQuartus设计流程设计流程 启动启动QuartusQuartus 5.0 5.0双击桌面上的双击桌面上的Quartus5.0Quartus5.0图标或单击开始按扭,在程序图标或单击开始按扭,在程序菜单中选择菜单中选择Quartus5.0 Quartus5.0 ,可以启动,可以启动Quartus5.0Quartus5.0。其初。其初始界面如图所示。始界面如图所示。 1 1建立项目建立项目 利用利用QuartusQuartus II II提供的新建工程指南可以帮助我们很容易的建立一提供的新建工程指南可以帮助我们很容易的建立一个工程:个工

17、程:在主菜单上选择在主菜单上选择FileNew Project Wizard FileNew Project Wizard 将弹出如下图所示对将弹出如下图所示对话框。话框。 QuartusQuartus设计流程设计流程 在上图中的第一个空白处需添入新建工程工作在上图中的第一个空白处需添入新建工程工作目录的路径,为便于管理,目录的路径,为便于管理,QuartusQuartus II II软件要软件要求每一个工程项目及其相关文件都统一存储在求每一个工程项目及其相关文件都统一存储在单独的文件夹中。第二个空白处需添入新建的单独的文件夹中。第二个空白处需添入新建的工程名称。第三个空白处需添入的是工程的顶

18、工程名称。第三个空白处需添入的是工程的顶层设计实体名称,要求顶层设计实体名称和新层设计实体名称,要求顶层设计实体名称和新建的工程名称保持一致。建的工程名称保持一致。如上图所示添好后,按如上图所示添好后,按NextNext按钮,将会弹出加按钮,将会弹出加入文件对话框,如下图所示。入文件对话框,如下图所示。 QuartusQuartus设计流程设计流程 新建工程新建工程工作目录工作目录的路径的路径 新建的工新建的工程名称程名称工程的顶工程的顶层设计实层设计实体名称体名称 加入文件对话框:加入文件对话框: 可以在可以在FileFile空白处选择添入其他已存在的设计文件加入空白处选择添入其他已存在的设

19、计文件加入到这个工程中,也可以使用到这个工程中,也可以使用User Library PathnamesUser Library Pathnames按按钮把用户自定义的库函数加入到工程中使用。完成后按钮把用户自定义的库函数加入到工程中使用。完成后按NextNext按钮进入下一步。按钮进入下一步。下面弹出的是选择可编程逻辑器件对话框,如下图所示。下面弹出的是选择可编程逻辑器件对话框,如下图所示。选选YesYes,手动选择需要的器件,选,手动选择需要的器件,选NoNo,则由编译器自动,则由编译器自动选择。选择。QuartusQuartus设计流程设计流程 在下一步弹出的对话框中通过选择器件的封装形式

20、,引脚在下一步弹出的对话框中通过选择器件的封装形式,引脚数目,以及速度级别来约束可选器件的范围。如图所示。数目,以及速度级别来约束可选器件的范围。如图所示。器件设置对话框器件设置对话框 QuartusQuartus设计流程设计流程 EP1K30TC144-3最后是由新建工程指南建立的工程文件摘要,显示了上面的最后是由新建工程指南建立的工程文件摘要,显示了上面的全部设置选项。至此,新工程建立完毕,在全部设置选项。至此,新工程建立完毕,在QuartusIIQuartusII设计软设计软件界面的顶部标题栏将显示工程名称和存储路径。如下图所示。件界面的顶部标题栏将显示工程名称和存储路径。如下图所示。Q

21、uartusQuartus设计流程设计流程 2 2输入设计电路输入设计电路单击标题栏中的单击标题栏中的FileNewFileNew对话框,如图所示对话框,如图所示。 单击单击NewNew对话框的对话框的Device Device Design FilesDesign Files选项卡,选项卡,Block diagram/schematic Block diagram/schematic filefile,选好后单击,选好后单击【OKOK】按钮,打开原理图编辑器按钮,打开原理图编辑器窗口窗口。QuartusQuartus设计流程设计流程 设计输入设计输入 将所设计的电路的逻辑功能按照开发系统要求

22、的形式表达出将所设计的电路的逻辑功能按照开发系统要求的形式表达出来的过程称为设计输入。来的过程称为设计输入。 设计输入有如下三种方式:设计输入有如下三种方式: (1)原理图输入方式)原理图输入方式 适用于对系统及各部分电路很熟悉的场合。适用于对系统及各部分电路很熟悉的场合。 (2)硬件描述语言输入方式)硬件描述语言输入方式 硬件描述语言是用文本方式描述设计,硬件描述语言有硬件描述语言是用文本方式描述设计,硬件描述语言有ABEL、AHDL、VHDL、Verilog等,其中等,其中VHDL和和Verilog已已成为成为IEEE标准。标准。 (3)波形输入方式)波形输入方式QuartusQuartu

23、s设计流程设计流程 在编辑窗中的任何一个位置上单击鼠标右键,在弹出的快捷菜在编辑窗中的任何一个位置上单击鼠标右键,在弹出的快捷菜单中选择其中的输入元件项单中选择其中的输入元件项Insert-SymbolInsert-Symbol,于是将弹出如下,于是将弹出如下图所示的输入元件的对话框图所示的输入元件的对话框 QuartusQuartus设计流程设计流程选择菜单选择菜单File-Save AsFile-Save As命令,将已设计好的原理图文件命令,将已设计好的原理图文件取名并存盘在已为此项目建立的文件夹内。取名并存盘在已为此项目建立的文件夹内。QuartusQuartus设计流程设计流程 设计

24、半加器设计半加器Quartus设计流程设计流程 n将设计项目设置成可调用的元件将设计项目设置成可调用的元件Quartus设计流程 设计全加器顶层文件设计全加器顶层文件3 3设计编译设计编译编译设置:利用编译设置:利用QuartusQuartus II II提供的编译设置指南可以帮助我提供的编译设置指南可以帮助我们很容易的进行一个项目的编译设置。在主菜单中选择们很容易的进行一个项目的编译设置。在主菜单中选择Assignments/Compiler Settings WizardAssignments/Compiler Settings Wizard选项,将弹出一个对选项,将弹出一个对话框,要求输

25、入指定的编译实体模块和设定名字。话框,要求输入指定的编译实体模块和设定名字。编译设置好后,在主菜单中选择编译设置好后,在主菜单中选择Processing/Start Processing/Start Compilation Compilation 对所设置的项目进行编译。对所设置的项目进行编译。阅读编译报告:编译后自动生成的编译报告如图所示,它包阅读编译报告:编译后自动生成的编译报告如图所示,它包含了怎样将一个设计放到一个器件中的所有信息。有器件使用含了怎样将一个设计放到一个器件中的所有信息。有器件使用统计,编译设置情况,底层显示,器件资源利用率,状态机的统计,编译设置情况,底层显示,器件资源

26、利用率,状态机的实现,方程式,延时分析结果,实现,方程式,延时分析结果,CPUCPU使用资源。使用资源。QuartusQuartus设计流程设计流程 QuartusQuartus设计流程设计流程 QuartusQuartus设计流程设计流程 编译报告编译报告:4 4设计仿真设计仿真 QuartusIIQuartusII支持多种仿真输入方法,它支持波形方式输支持多种仿真输入方法,它支持波形方式输入,如:向量波形文件(入,如:向量波形文件(. .vwfvwf)、向量文件()、向量文件(. .vecvec)、)、列表文件(列表文件(. .tbltbl),也支持),也支持TestbenchTestbe

27、nch如:如:TclTcl/TK/TK脚本脚本文件,同时也支持第三方的仿真工具的文件,同时也支持第三方的仿真工具的VerilogVerilog/VHDL /VHDL TestbenchTestbench。QuartusIIQuartusII仿真设置仿真设置: :利用利用QuartusQuartus II II提供的仿真设置提供的仿真设置指南可以帮助我们快速进行一个项目的仿真设置。在指南可以帮助我们快速进行一个项目的仿真设置。在主菜单中选择主菜单中选择Assignments/Simulator Settings Assignments/Simulator Settings WizardWizar

28、d选项,在弹出的对话框中,输入指定的仿真实选项,在弹出的对话框中,输入指定的仿真实体模块和设定名字。体模块和设定名字。QuartusQuartus设计流程设计流程 建立仿真波形文件建立仿真波形文件: :在在主菜单中选择主菜单中选择File/NewFile/New选选项,在弹出的项,在弹出的NewNew对话框对话框中选择中选择Other FilesOther Files选项选项中的中的Vector Waveform Vector Waveform FileFile。 设置仿真参数设置仿真参数: :设置仿设置仿真时间区域。对于时序仿真时间区域。对于时序仿真来说,将仿真时间轴设真来说,将仿真时间轴设

29、置在一个合理的时间区域置在一个合理的时间区域上十分重要。通常设置的上十分重要。通常设置的时间范围在数十微秒间:时间范围在数十微秒间: QuartusQuartus设计流程设计流程 将工程端口信号节点选入波形编辑器中。将工程端口信号节点选入波形编辑器中。QuartusQuartus设计流程设计流程 编辑输入波形编辑输入波形( (输入激励信号输入激励信号) )。QuartusQuartus设计流程设计流程 存盘,并启动仿真存盘,并启动仿真QuartusQuartus设计流程设计流程 观察仿真波形观察仿真波形QuartusQuartus设计流程设计流程 5 5、设计下载设计下载: :打开编程窗和配置

30、文件。首先将实验系统和并口通信线连打开编程窗和配置文件。首先将实验系统和并口通信线连接好,打开电源。在菜单接好,打开电源。在菜单ToolTool中选择中选择ProgrammerProgrammer,于是弹出,于是弹出如图所示的编程窗。在如图所示的编程窗。在ModeMode栏中有栏中有4 4种编程模式可以选择:种编程模式可以选择:JTAGJTAG, Passive SerialPassive Serial, Active SerialActive Serial和和In-SocketIn-Socket。为。为了直接对了直接对FPGAFPGA进行配置,在编程窗的编程模式进行配置,在编程窗的编程模式M

31、odeMode中选中选JTAG(JTAG(默认默认) ),并选中打勾下载文件右侧的第一小方框。注意,并选中打勾下载文件右侧的第一小方框。注意要仔细核对下载文件路径与文件名。如果此文件没有出现或要仔细核对下载文件路径与文件名。如果此文件没有出现或有错,单击左侧有错,单击左侧“Add FileAdd File”按钮,手动选择配置文件按钮,手动选择配置文件f_adder.soff_adder.sof。 QuartusQuartus设计流程设计流程 设置引脚:为了能对此全加器进行硬件测试,应将其输入设置引脚:为了能对此全加器进行硬件测试,应将其输入输出信号锁定在芯片确定的引脚上,编译后下载。输出信号锁

32、定在芯片确定的引脚上,编译后下载。 选择选择ToolsTools菜单中的菜单中的AssignmentsAssignments项,即进入如图所示的项,即进入如图所示的Assignment EditorAssignment Editor编辑器窗。在编辑器窗。在CategoryCategory栏中选择栏中选择PinPin,或直接单击右上侧的或直接单击右上侧的PinPin按钮。按钮。 QuartusQuartus设计流程设计流程 双击双击ToTo栏的栏的,在出现的如图所示的下拉栏中分,在出现的如图所示的下拉栏中分别选择本工程要锁定的端口信号名;然后双击对应的别选择本工程要锁定的端口信号名;然后双击对应

33、的LocationLocation栏的栏的,在出现的下拉栏中选择对应端口,在出现的下拉栏中选择对应端口信号名的器件引脚号,如对应信号名的器件引脚号,如对应ainain, ,选择选择8 8脚。脚。 QuartusQuartus设计流程设计流程 5 5、设计下载设计下载: :设置编程器。若是初次安装的设置编程器。若是初次安装的QuartusQuartus,在编程前必须进在编程前必须进行编程器选择操作。这里准备选择行编程器选择操作。这里准备选择ByteBlasterByteBlaster MVLPT1 MVLPT1。单击单击Hardware SetupHardware Setup按钮可设置下载接口方

34、式,在弹出的按钮可设置下载接口方式,在弹出的Hardware SetupHardware Setup对话框中,选择对话框中,选择Hardware settingsHardware settings页,再页,再双击此页中的选项双击此页中的选项BytcBlasterBytcBlaster之后,单击之后,单击CloseClose按钮,关闭按钮,关闭对话框即可。这时应该在编程窗右上显示出编程方式:对话框即可。这时应该在编程窗右上显示出编程方式:ytcBlasterytcBlaster LPT 1 LPT 1。如果打开下所示的窗口内。如果打开下所示的窗口内“Currently selectedCurre

35、ntly selected”右侧显示右侧显示No HardwareNo Hardware,则必须加入,则必须加入下载方式。即点击下载方式。即点击Add HardwareAdd Hardware钮,在弹出的窗中点击钮,在弹出的窗中点击OKOK,再在窗口中双击再在窗口中双击BytcBlasterBytcBlaster,使,使“Currently selectedCurrently selected”右右侧显示侧显示BytcBlasterBytcBlaster LPT1 LPT1。 QuartusQuartus设计流程设计流程 QuartusQuartus设计流程设计流程 5 5、设计下载、设计下载

36、: :下载:单击下载标符下载:单击下载标符StartStart按钮,即进入对目标器件按钮,即进入对目标器件FPGAFPGA的配置下载操作。当的配置下载操作。当ProgressProgress显示出显示出100%100%,以及在底部的处,以及在底部的处理栏中出现理栏中出现“Configuration SucceededConfiguration Succeeded”时,表示编程成功。时,表示编程成功。注意,如果必要,可再次单击注意,如果必要,可再次单击StartStart按钮,直至编程成功。按钮,直至编程成功。硬件测试:软件下载成功后,测试已完成电路是否符合设硬件测试:软件下载成功后,测试已完成

37、电路是否符合设计要求。计要求。QuartusQuartus设计流程设计流程 模模6060的计数器设计与实现的计数器设计与实现建立图形文件:打开建立图形文件:打开QuartusQuartus 5.0 5.0编辑器,选择编辑器,选择File/NewFile/New命令,命令,在在Device Design FileDevice Design File选项卡下选择选项卡下选择Block Block DigramDigram /Schematic File/Schematic File,单击,单击OKOK按钮。按钮。模为模为6060的计数器原理图的计数器原理图QuartusQuartus设计应用举例设

38、计应用举例 最常用的工具菜单:最常用的工具菜单:ProjectProject(工程):(工程):AssignmentAssignment(资源分配):(资源分配):ProcessingProcessing(操作):(操作):ToolsTools(工具):(工具):QuartusQuartus设计说明设计说明 关于关于 当在当在QuartusQuartus 中完成设计后,就应当将所设计的中完成设计后,就应当将所设计的电路下载到电路下载到CPLDCPLD芯片中,结合用户系统进行统一的芯片中,结合用户系统进行统一的调试。调试。CPLDCPLD编程下载的方式较多,按计算机的接口编程下载的方式较多,按计

39、算机的接口可分为:串口下载(可分为:串口下载(BitBlasterBitBlaster或或MasterBlasterMasterBlaster)、并口下载()、并口下载(ByteBlasterByteBlaster)、)、USBUSB接口下载(接口下载(MasterBlasterMasterBlaster或或APUAPU)等方式。按)等方式。按器件可分为:器件可分为:CPLDCPLD编程(编程(MAX 3000MAX 3000、MAX 5000MAX 5000、MAX 7000MAX 7000、MAX 9000MAX 9000),),FPGAFPGA下载(下载(FLEX 6000FLEX 60

40、00、FLEX 8000FLEX 8000、FLEX 10KFLEX 10K、ACEX 1KACEX 1K、APEX 20KAPEX 20K),存),存储器编程储器编程EPC1EPC1、EPC2EPC2等。等。 针对针对CPLDCPLD器件不同的内部结构,器件不同的内部结构,AlteraAltera公司提供了公司提供了不同的器件配置方式。不同的器件配置方式。AlteraAltera可编程逻辑器件的配可编程逻辑器件的配置可通过编程器、置可通过编程器、JATGJATG接口在线编程及接口在线编程及AlteraAltera在线在线配置三种方式进行。配置三种方式进行。 AlteraAltera器件编程的

41、连接硬件包括器件编程的连接硬件包括ByteBlasterByteBlaster并口并口下载电缆,下载电缆,ByteBlasterMVByteBlasterMV并口下载电缆,并口下载电缆,MasterBlasterMasterBlaster串行串行/USB /USB 通信电缆,通信电缆,BitBlasterBitBlaster串串口下载电缆。口下载电缆。AlteraAltera公司提供的公司提供的EPC1EPC1、EPC2EPC2、EPC16EPC16和和EPC1441EPC1441等等PROMPROM配置芯片。配置芯片。关于关于ByteBlasterByteBlaster 并口下载电缆连接示意

42、图并口下载电缆连接示意图下载模式下载模式nByteBlasterByteBlaster并口下载电缆提供两种下载模式:并口下载电缆提供两种下载模式:n(1 1)被动串行模式()被动串行模式(PSPS模式)模式)用于配置用于配置FLEX 10KFLEX 10K、FLEX 8000FLEX 8000和和FLEX 6000FLEX 6000器件;器件;n(2 2)JTAGJTAG模式模式具有工业标准的具有工业标准的JTAGJTAG边界边界扫描测试电路(符合扫描测试电路(符合IEEE 1149.1IEEE 1149.1:19901990标标准),用于配置准),用于配置FLEX 10KFLEX 10K或对或对MAX 9000MAX 9000、MAX MAX 7000S7000S和和MAX 7000AMAX 7000A器件进行编程。器件进行编程。GW48-PK型型EDA/SOC实验开发系统实验开发系统GW48-PK系列实验开发系统使用说明系列实验开发系统使用说明n1.1.闲置不用闲置不用GW4

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论