基于vhdl数字钟设计说明书_第1页
基于vhdl数字钟设计说明书_第2页
基于vhdl数字钟设计说明书_第3页
基于vhdl数字钟设计说明书_第4页
基于vhdl数字钟设计说明书_第5页
已阅读5页,还剩40页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 基于vhdl的数字钟设计 一、 设计要求1、 具有以二十四小时计时、显示、整点报时、时间设置和闹钟的功能。2、 设计精度要求为1S。二系统功能描述1 . 系统输入:系统状态及校时、定时转换的控制信号为k、trans、set; 时钟信号clk,采用1024Hz; 系统复位信号为reset。输入信号均由按键产生。系统输出:LED显示输出,蜂鸣器声音信号输出。多功能数字钟系统功能的具体描述如下:2. 计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。3. 校时:在计时状态显示下,按下“set键”,进入“小时”校准状态,之后按下“k键”则进入“分”校准状态,继续按下“k键”

2、则进入“秒复零”状态,第三次按下“k 键”又恢复到正常计时显示状态。1)“小时”校准状态:在“小时”校准状态下,显示“小时”数码管以1Hz的频率递增计数。2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以1Hz的频率递增计数。3)“秒”复零状态:在“秒复零”状态下,显示“秒”的数码管复零。4. 整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57秒发频率为512Hz的低音,在“59”分钟的第“59”秒发频率为1024Hz的高音,结束时为整点。5. 显示:要求采用扫描显示方式驱动6个LED数码管显示小时、分、秒。闹钟:闹钟定时时间到,蜂鸣器发出周期为1s的“滴”、“滴

3、”声,持续时间为10s;闹钟定时显示。6. 闹钟定时设置:在闹钟定时显示状态下,按下“set键”,进入闹钟的“时”设置状态,之后按下“k键”进入闹钟的“分”设置状态,继续按下“k 键”则进入“秒”设置状 态,第三次按下“k键”又恢复到闹钟定时显示状态。 1) 闹钟“小时”设置状态:在闹钟“小时”设置状态下, 显示“小时”的数码管 以1Hz的频率递增计数。2) 闹钟:“分”设置状态:在闹钟“分”设置状态下,显示“分” 的数码管以1Hz的频率递增计数。三、 控制器的MDS图及多功能数字系统结构逻辑框图S0:显示计时时间 S4:显示闹铃时间s1:调计时的时 s5:调闹铃的时s2:调计时的分 s6:调

4、闹铃的分s3:调计时的秒 s7:调闹铃的秒 S0S4S2S3S7S1S5S6Trans=1Set=1Set=1Set=1Set=1Set=1Set=1Set=1Set=1四、总电路图及各分块的电路图、原始程序、仿真图。共分七大模块:主控模块、计时校时模块、闹钟设定模块、选择显示模块、整点报时及闹铃模块、分频模块、动态显示模块。1、主控模块电路图主控模块代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity control is

5、port(clk,k,set,reset,trans : in std_logic;jh,jm,js,nh,nm,ns,flashh,flashm,flashs,selct :out std_logic);end control;architecture behav of control istype states is(s0,s1,s2,s3,s4,s5,s6,s7);signal current_state,next_state :states;beginprocess (reset,clk,next_state,current_state,k,set)beginif (reset=

6、9;1')thencurrent_state<=s0;elsif(clk'event and clk='1')thencurrent_state<=next_state;end if;case current_state iswhen s0=>flashh<='0'flashm<='0'flashs<='0'jh<='0'jm<='0'js<='0' nh<='0'nm<='0&

7、#39;ns<='0'selct<='1'if(trans='1')then next_state<=s4;elsif(set='1' ) thennext_state<=s1;elsenext_state<=s0;end if;when s1=>flashh<='1'flashm<='0'flashs<='0'jh<='1'jm<='0'js<='0' nh<

8、;='0'nm<='0'ns<='0'selct<='1'if (set='1')thennext_state<=s2;elsenext_state<=s1;end if;when s2=>flashh<='0'flashm<='1'flashs<='0'jh<='0'jm<='1'js<='0' nh<='0'nm<=&

9、#39;0'ns<='0'selct<='1'if (set='1') then next_state<=s3;elsenext_state<=s2;end if;when s3=>flashh<='0'flashm<='0'flashs<='1'jh<='0'jm<='0'js<='1' nh<='0'nm<='0'ns<=&#

10、39;0'selct<='1'if ( set='1' ) then next_state<=s0;elsenext_state<=s3;end if;when s4=>flashh<='0'flashm<='0'flashs<='0'jh<='0'jm<='0'js<='0' nh<='0'nm<='0'ns<='0'selct<

11、;='0'if ( trans='1' ) thennext_state<=s0;elsif ( set='1' ) then next_state<=s5;elsenext_state<=s4;end if;when s5=>flashh<='1'flashm<='0'flashs<='0'jh<='0'jm<='0'js<='0' nh<='1'nm<='

12、;0'ns<='0'selct<='0'if (set='1') then next_state<=s6;elsenext_state<=s5;end if;when s6=>flashh<='0'flashm<='1'flashs<='0'jh<='0'jm<='0'js<='0' nh<='0'nm<='1'ns<='

13、0'selct<='0'if (set='1' ) thennext_state<=s7;elsenext_state<=s6;end if;when s7=>flashh<='0'flashm<='0'flashs<='1'jh<='0'jm<='0'js<='0' nh<='0'nm<='0'ns<='1'selct<=

14、9;0'If (set='1') thennext_state<=s4;elsenext_state<=s7;end if;end case;end process;end behav;主控模块仿真图2、计时校时模块计时校时代码二选一library ieee;use ieee.std_logic_1164.all;entity mux2_1 isport(d0,d1,en :in std_logic; sel :in std_logic; y :out std_logic);end mux2_1;architecture mux2_1_arch of mux

15、2_1 isbegin process(d0,d1,sel) begin if(sel='0')then y<=d0; elsif(sel='1'and en='1')then y<=d1 ; end if; end process;end mux2_1_arch;六十进制计数library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_60 isport(clk :in std_logic; qh :buffer std_l

16、ogic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0); co :buffer std_logic);end count_60;architecture behav of count_60 isbegin process(clk)beginif(rising_edge(clk)thenif(qh="0101"and ql="1001")thenqh<="0000"co<='1'ql<="0000"elsif(

17、ql="1001")thenql<="0000"qh<=qh+1;co<='0'elseql<=ql+1 ;co<='0'end if;end if;end process;end behav;二十四进制计数library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_24 isport(clk :in std_logic; hh :buffer std_logic_vector(3

18、downto 0); hl :buffer std_logic_vector(3 downto 0);end count_24;architecture behav of count_24 isbegin process(clk)beginif(rising_edge(clk)thenif(hh="0010"and hl="0011")thenhh<="0000"hl<="0000"elsif(hl="1001")thenhl<="0000"hh<=h

19、h+1;elsehl<=hl+1;end if;end if;end process;end behav;计时校时仿真图如下3、闹钟设置模块闹钟时间设置模块代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clkset isport(hh,hl,mh,ml,sh :in std_logic_vector(3 downto 0); nh,nm,ns,f4 ,k:in std_logic; bhg,bhd,bmg,bmd,

20、bsg,bsd :buffer std_logic_vector(3 downto 0); comout :out std_logic);end clkset ;architecture behav of clkset isbegincom:process(hh,mh,sh,hl,ml)beginif(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)thencomout<='1'elsecomout<='0'end if;end process;set:process(f4)beginif(f4&

21、#39;event and f4='1')thenif(nh='1'and k='1')thenif(bhg="0010" and bhd="0011")thenbhd<="0000"bhg<="0000"elsif(bhd="1001")thenbhd<="0000"bhg<=bhg+1;elsif(bhd<"1001")then bhd<=bhd+1;end if;en

22、d if;end if;end process;process(f4)beginif(f4'event and f4='1')thenif(nm='1'and k='1')thenif(bmg="0101" and bmd="1001")thenbmd<="0000"bmg<="0000"elsif(bmd="1001")thenbmd<="0000"bmg<=bmg+1;elsif(bmd<

23、;"1001")then bmd<=bmd+1;end if;end if;end if;end process;process(f4)beginif(f4'event and f4='1')thenif(ns='1' and k='1')thenif(bsg="0101" and bsd="1001")thenbsd<="0000"bsg<="0000"elsif(bsd="1001")thenbsd

24、<="0000"bsg<=bsg+1;elsif(bsd<"1001")then bsd<=bsd+1;end if;end if;end if;end process;end behav;4、选择显示控制模块显示选择控制模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity compare isport(hh,hl,mh,ml,sh,sl,bhg,bhd,bmg,b

25、md,bsg,bsd :in std_logic_vector(3 downto 0); flashh,flashm,flashs,clk1,selct :in std_logic; shh,shl,smh,line,sml,ssh,ssl :out std_logic_vector(3 downto 0);end compare ;architecture behav of compare isbeginline<="1010"process(clk1)beginif selct='1'thenssl<=sl;ssh<=sh;sml<

26、;=ml;smh<=mh;shl<=hl;shh<=hh;elsif selct='0'thenssl<=bsd;ssh<=bsg;sml<=bmd;smh<=bmg;shl<=bhd;shh<=bhg;end if;if(clk1='1' and flashs='1')thenssl<="1111"ssh<="1111"end if;if(clk1='1' and flashm='1')thensml<

27、="1111"smh<="1111"end if;if(clk1='1' and flashh='1')thenshl<="1111"shh<="1111"end if;end process;end behav ;5、整点报时及闹钟整点报时及闹钟library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity baoshi isport(mh,ml,sh,sl :in s

28、td_logic_vector(3 downto 0); comout,f1024hz,f512hz,clk :in std_logic; bell :out std_logic);end baoshi;architecture behav of baoshi isbeginprocess(clk,mh,ml,sh,sl,f1024hz,f512hz)beginif(comout='1')thenbell<=clk;elsif(mh="0101"and ml="1001" )then if(sh="0101")

29、then if(sl="1001") then bell<=f1024hz; elsif(sl="0001" or sl="0011" or sl="0101" or sl="0111")then bell<=f512hz; end if; else bell<='0' end if;elsif(ml<"1001"or mh<"0101"or sh<"0101" )then bell&

30、lt;='0'end if;end process;end behav;6、分频模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk:in std_logic; f512,f4,f1:out std_logic);end fenpin;architecture fen of fenpin issignal m:std_logic_vector(9 downto 0);beginprocess(clk)beginif(clk'e

31、vent and clk='1')thenif m="1111111111"then m<="0000000000"elsem<=m+'1'end if;end if;f512<=m(0); f1<=m(9);f4<=m(7); end process;end fen;分频仿真图7、动态显示模块八选一library ieee;use ieee.std_logic_1164.all;entity mux8_1 isport(D0,D1,D2,D3,D4,D5,D6,D7 :in std_logi

32、c_vector(3 downto 0); sel :in std_logic_vector(2 downto 0); Q: out std_logic_vector(3 downto 0);end mux8_1;architecture arch of mux8_1 isbegin process (D0,D1,D2,D3,D4,D5,D6,D7,SEL)begin case sel iswhen "000"=>Q<=D0;when "001"=>Q<=D1;when "010"=>Q<=D2;w

33、hen "011"=>Q<=D3;when "100"=>Q<=D4;when "101"=>Q<=D5;when "110"=>Q<=D6;when "111"=>Q<=D7;when others=>Q<="XXXX"end case;end process;end arch;八进制计数器library ieee;use ieee.std_logic_1164.all;entity mux8_1 is

34、port(D0,D1,D2,D3,D4,D5,D6,D7 :in std_logic_vector(3 downto 0); sel :in std_logic_vector(2 downto 0); Q: out std_logic_vector(3 downto 0);end mux8_1;architecture arch of mux8_1 isbegin process (D0,D1,D2,D3,D4,D5,D6,D7,SEL)begin case sel iswhen "000"=>Q<=D0;when "001"=>Q&l

35、t;=D1;when "010"=>Q<=D2;when "011"=>Q<=D3;when "100"=>Q<=D4;when "101"=>Q<=D5;when "110"=>Q<=D6;when "111"=>Q<=D7;when others=>Q<="XXXX"end case;end process;end arch;数码管七段显示library ieee;us

36、e ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity deled isport( num : in std_logic_vector(3 downto 0); a,b,c,d,e,f,g: out std_logic);end deled;architecture art of deled is signal led :std_logic_vector(6 downto 0); begin process(num) begin case num is when "0000" => led<

37、;="1111110" when "0001" => led<="0110000" when "0010" => led<="1101101" when "0011" => led<="1111001" when "0100" => led<="0110011" when "0101" => led<="1011011"

38、; when "0110" => led<="1011111" when "0111" => led<="1110000" when "1000" => led<="1111111" when "1001" => led<="1111011" when "1111" => led<="0000000" when others=> l

39、ed<="0000001" end case; end process; a<=led(6);b<=led(5);c<=led(4);d<=led(3);e<=led(2);f<=led(1);g<=led(0); end art;3-8译码器library ieee;use ieee.std_logic_1164.all;entity decode3_8 is port (a,b,c: in std_logic; s1,s2,s3 :in std_logic; y :out std_logic_vector(7 downto

40、 0);end decode3_8;architecture rtl of decode3_8 issignal ind :std_logic_vector(2 downto 0);beginind<=c&b&a;process(ind,s1,s2,s3)beginif(s1='1'and s2='0'and s3='0') thencase ind is when "000"=>y<="00000001"when "001"=>y<=&qu

41、ot;00000010"when "010"=>y<="00000100"when "011"=>y<="00001000"when "100"=>y<="00010000"when "101"=>y<="00100000"when "110"=>y<="01000000"when "111"=>y&l

42、t;="10000000"when others =>y<="XXXXXXXX"end case;else y<="00000000"end if;end process;end rtl;总仿真图如下五、 总结及体会 通过这次VHDL课程设计,我学到了很多,对于原本掌握的不好的数字逻辑相关知识,在课程设计具体实践中有了很深刻的认识,在对于MAX+plus的操作上也有很大的提高,增加了操作的熟练程度,现在我已经有信心做任何的设计课题。 在学到新知识的同时,我也认识到了VHDL设计的困难性 最后,感谢老师们帮我处理一些解

43、决不了的问题,还要感谢在我思维陷入困境时给予我指点,让我获得灵感的同学们,谢谢大家!大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目

44、应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,

45、包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.

46、3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各

47、类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。2、论文书写规定2.1 论文正文字数理工类 论文正

48、文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数

49、页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节

50、题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款1.1.1.

51、1 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,

52、若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称

53、,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者

54、省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的

55、名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万t·km”,“t/(人·a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”

56、。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(

57、1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字

58、上、下或左、右相同时,不允许用“”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。表内文字说明不加标点。文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等。表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及表的说明文字均用五号字,中文用宋体。表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表×)字样。2.13 插图插图应与文字紧密配合,文图相符,技术内容正确。2.13.1 制图标准插图应符合技术制图及相应专业制图的规定。

59、机械工程图:采用第一角投影法,应符合附录5所列有关标准的规定。电气图:图形符号、文字符号等应符合附录6所列有关标准的规定。流程图:符合国家标准。对无规定符号的图形应采用该行业的常用画法。2.13.2 图题及图中说明每个图均应有图题(由图号和图名组成)。图号按章编排,如第1章第一图的图号为“图1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号用a)、b)等置于分图之下。图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者,置于分图题之上)。图题用五号字,

60、图内文字及说明均用五号字,中文用宋体。2.13.3 插图编排插图与其图题为一个整体,不得拆开排写于两页。插图应编排在正文提及之后,插图处的该页空白不够排写该图整体时,则可将其后文字部分提前排写,将图移到次页最前面。2.13.4 坐标单位有数字标注的坐标图,除无单位者(如标示值)之外,必须注明坐标单位。2.13.5 论文中照片图及插图毕业论文中的照片图均应是原版照片粘贴(或数码像机图片),照片可为黑白或彩色,应主题突出、层次分明、清晰整洁、反差适中。照片采用光面相纸,不宜用布纹相纸。对金相显微组织照片必须注明放大倍数。毕业论文中的插图不得采用复印件。对于复杂的引用图,可采用数字化仪表输入计算机打印出来的图稿。2.14 附录理工类论文附录的序号采用“附录1”、“附录2”等,附录顺序为开题报告、文献综述、外文文献的中文译文及外文复印件等。文管类论文附录序号相应采用“附录一”、“附录二”等。3、论文排版要求3.1 纸张要求及页面设置名称格式要求纸张B5(182×257),幅面白色页面设置上下页边距2.5cm和2cm,左右页边距2.4 cm和2cm,页眉、页脚分别为1.8cm和1.7cm,对

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论