第7章 集成触发器_第1页
第7章 集成触发器_第2页
第7章 集成触发器_第3页
第7章 集成触发器_第4页
第7章 集成触发器_第5页
已阅读5页,还剩75页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第7章 集成触发器 2本章目标22022-5-3赖祖亮赖祖亮 小木虫小木虫掌握与非门结构基本触发器的电路组成、逻辑功能和工作特点了解同步触发器的结构、工作特点和存在问题重点掌握边沿触发器、边沿触发器的逻辑功能及其特性方程了解边沿触发器的VHDL语言描述3 32022-5-31.从电路结构形式的角度,要求熟练掌握各触发器的动作特点,如果给从电路结构形式的角度,要求熟练掌握各触发器的动作特点,如果给出输入波形,要求能够正确地画出输出波形。出输入波形,要求能够正确地画出输出波形。2.从逻辑功能的角度,要求熟练掌握各触发器的逻辑符号(含义)、特从逻辑功能的角度,要求熟练掌握各触发器的逻辑符号(含义)、

2、特性表、特性方程及状态转换图。同样,如果给出输入波形,要求能够性表、特性方程及状态转换图。同样,如果给出输入波形,要求能够正确地画出输出波形。正确地画出输出波形。建立起两个概念:建立起两个概念: 现态现态和和次态次态的概念;的概念; 触发器的触发器的逻辑功能逻辑功能和和电路结构电路结构并并没有没有固定的对应关系。固定的对应关系。引言引言基本基本RS触发器触发器同步触发器同步触发器 边沿触发器边沿触发器本章小结本章小结内容提要 现态:触发器接收输入信号之现态:触发器接收输入信号之前前的状态,叫做现态,用的状态,叫做现态,用Q Qn n表示表示 。 次态:触发器接收输入信号之次态:触发器接收输入信

3、号之后后的状态,叫做次态,用的状态,叫做次态,用Q Qn+1n+1 表示。表示。 触发器次态输出触发器次态输出Q Qn+1n+1与现态与现态Q Qn n和和输入信号输入信号之间的逻辑关系,是贯穿之间的逻辑关系,是贯穿本章始终的基本问题。如何获得、描述和理解这种逻辑关系,是本章学本章始终的基本问题。如何获得、描述和理解这种逻辑关系,是本章学习的中心任务。习的中心任务。 触发器触发器的基本特性和作用的基本特性和作用 Flip - Flop,简写为简写为 FF,又称双稳态触发器。又称双稳态触发器。一个触发器可存储一个触发器可存储 1 位二进制数码位二进制数码基本特性(1)具有两个稳定状态,可分别用来

4、表示二进制数)具有两个稳定状态,可分别用来表示二进制数的的0和和1;(2)在外信号作用下,两个稳定状态可相互转换,)在外信号作用下,两个稳定状态可相互转换,外信号消失后,已转换的状态可长期保留,因此,外信号消失后,已转换的状态可长期保留,因此,触发器可用来长期保存二进制信息。触发器可用来长期保存二进制信息。(3)状态转换时刻和方向同受输入信号、控制,为)状态转换时刻和方向同受输入信号、控制,为异步时序电路。异步时序电路。触发器的作用触发器的作用触发器有记忆功能,触发器有记忆功能,由它构成的电路在某时刻的输由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关出不仅取决于该时刻

5、的输入,还与电路原来状态有关。而门电路无记忆功能,由它构成的电路在某时刻的输出而门电路无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关;完全取决于该时刻的输入,与电路原来状态无关;触发器和门电路触发器和门电路是构成数字电路的基本是构成数字电路的基本 单元。单元。触发器的类型触发器的类型 根据逻辑功能不同分为根据逻辑功能不同分为 RS 触发器触发器 D 触发器触发器 JK 触发器触发器 T 触发器触发器 T 触发器触发器 根据触发方式不同分为根据触发方式不同分为 电平触发器电平触发器 边沿触发器边沿触发器 主从触发器主从触发器 根据电路结构不同分为根据电路结构不

6、同分为 基本基本 RS 触发器触发器 同步触发器同步触发器 主从触发器主从触发器 边沿触发器边沿触发器 触发方式触发方式 电平触发、脉冲触发和边沿触发。电平触发、脉冲触发和边沿触发。触发器触发器置位信号复位信号输入信号时钟信号(时钟控制信号)状态输出高电平低电平下降沿上降沿 CPQQQQCPQQCPQQCP需要触发信号的触发器,不同触发方式触发器的逻辑符号需要触发信号的触发器,不同触发方式触发器的逻辑符号输入信号时钟信号输入信号时钟信号输入信号时钟信号输入信号时钟信号上升沿触发低电平触发高电平触发下升沿触发置位与复位控制置位与复位控制置位或者复位可以直接用逻辑门实现置位或者复位可以直接用逻辑门

7、实现R=1任意0s=0任意1置位(输出为置位(输出为1)复位(输出为复位(输出为0)锁存器锁存器(Latch)(Latch)和触发器和触发器(Flip Flop)(Flip Flop)的区别的区别 锁存器虽然也能够存储一位二值信号,但其置锁存器虽然也能够存储一位二值信号,但其置1或复位或复位(置(置0)是由输入)是由输入S或或R直接完成的,不需要触发信号的触直接完成的,不需要触发信号的触发。发。由与非门组成的基本由与非门组成的基本 RS 触发器触发器 电路结构及逻辑符号电路结构及逻辑符号置置1端,也称端,也称置 位 端 。置 位 端 。 S 即即 Set 置置0端,也称端,也称复 位 端 。复

8、 位 端 。 R 即即 Reset Q Q = 1= 1, = 0 = 0 时,称为触发器的时,称为触发器的 1 1 状态,记为状态,记为 Q Q = 1= 1;Q Q = 0= 0, = 1 = 1 时,称为触发器的时,称为触发器的 0 0 状态,记为状态,记为 Q Q = 0= 0。 QQ17.1 基本基本RS触发器触发器11011000SDRD 功功 能能 说说 明明输输 入入1QSQ DQQ 1QRQ DQQ 11不不 变变触发器保持原状态不变触发器保持原状态不变2 工作原理及逻辑功能工作原理及逻辑功能 工作原理及逻辑功能工作原理及逻辑功能 0111 1 触发器置触发器置 010011

9、011000SDRD 功功 能能 说说 明明输输 入入QQ输输 出出2 工作原理及逻辑功能工作原理及逻辑功能 0111011000SDRD 功功 能能 说说 明明输输 入入QQ输输 出出11100触发器置触发器置 12 工作原理及逻辑功能工作原理及逻辑功能 0111011000SDRD 功功 能能 说说 明明输输 入入QQ输输 出出01不不定定输出状态不确定输出状态不确定11输出既非输出既非 0 状态,状态,也非也非 1 状态。当状态。当 RD 和和 SD 同时由同时由 0 变变 1 时,时,输出状态可能为输出状态可能为 0,也,也可能为可能为 1,即输出状态,即输出状态不定。因此,这种情况不

10、定。因此,这种情况禁用。禁用。2 特性表特性表描述描述 基本触发器描述方法基本触发器描述方法 现态现态触发器接收输入信号之前的稳定状态触发器接收输入信号之前的稳定状态 次态次态触发器接收输入信号之后所处的新的稳定状态触发器接收输入信号之后所处的新的稳定状态 指触发器次态与输入信号和电路原有指触发器次态与输入信号和电路原有状态(现态)之间关系的真值表。状态(现态)之间关系的真值表。 1与非门组成的基本与非门组成的基本 RS 触发器特性表触发器特性表 置置 0 端端 RD 和置和置 1 端端 SD 低电平有效低电平有效禁用禁用 RD = SD = 0。注意R有效置0 S有效置1 R、S不允许同时有

11、效R、S同时无效保持 逻辑功能的逻辑功能的特性方程、状态图特性方程、状态图描述描述状态转换图状态转换图 特性方程特性方程由特性表列出基本由特性表列出基本RS触发器的卡诺图触发器的卡诺图11nnddddQSR QSR约束条件2两个圆圈表示状态0和1 箭头表示状态转换的方向 在箭头旁边用文字或符号表示实现转换所必备的条件 波形分析举例解:解: 例例 设下图中触发器初始状态为设下图中触发器初始状态为 0,试对应输入波形,试对应输入波形 画出画出 Q 和和 Q 的波形。的波形。QQSDRDSRSDRD保持保持初态为初态为 0,故保持为,故保持为 0。置置 0 保持保持QQ置置 1基本RS触发器组成的3

12、人抢答电路 K为复位键,KA、 KB、 KC为三人抢答按钮工作原理开始抢答前,先按一下复位键K,即3个触发器的R信号都为0,使 QA、QB、 QC 均置0,三个发光二极管均不亮 。开始抢答后,如 第一个被按下,则 的 使QA 置1,门的输出变为 , 点亮发光二极管DA,同时UoA的0信号封锁了GB、GC门,KB、KC即使再按下也无效。 然后松开KA,此时FA的 触发器保持原状态,直到裁判重新按下键,新一轮抢答开始。AKAF0S 0oAU1SR0000111101000或非门组成的基本或非门组成的基本RS触发器的真值表触发器的真值表RSQ触发器状态触发器状态00110101不变不变100*不变不

13、变010*保持保持置置1置置0不定不定Q 基本基本 RS 触发器的优缺点触发器的优缺点 优点优点缺点缺点电路简单,是构成各种触发器的基础。电路简单,是构成各种触发器的基础。 1. 输出受输入信号直接控制,不能定时控制。输出受输入信号直接控制,不能定时控制。2. 有约束条件。有约束条件。 7.2 同步触发器Synchronous Flip - Flop 实际工作中,实际工作中,常要求某些触发器在同一时刻动作。常要求某些触发器在同一时刻动作。为此,需要增加一个为此,需要增加一个时钟控制端时钟控制端 CP。 具有时钟脉冲控制的触发器称为具有时钟脉冲控制的触发器称为时钟触发器时钟触发器,又称钟控触发器

14、。又称钟控触发器。 同步触发器是其中最简单的一种,而同步触发器是其中最简单的一种,而基本基本 RS 触发器称异步触发器触发器称异步触发器。 ( (一一) )同步同步 RS RS 触发器触发器 同步同步 RS 触发器触发器 工作原理工作原理 CP = 0 时,时,G3、G4被封锁,输入信号被封锁,输入信号 R、S不起作用。基本不起作用。基本 RS 触发触发器的输入均为器的输入均为 1,触发器,触发器状态保持不变。状态保持不变。 CP = 1 时,时,G3、G4解除封锁,将输入信号解除封锁,将输入信号 R 和和 S 取非后送至基本取非后送至基本 RS 触发器的输入端。触发器的输入端。 电路结构电路

15、结构与逻辑符号与逻辑符号(a)电路图 (b)逻辑符号1电路结构:由基本电路结构:由基本RS触发器和时钟脉冲控制门电路组成。触发器和时钟脉冲控制门电路组成。 S=0,R=0:Qn+1=Qn S=1,R=0:Qn+1=1 S=0,R=1:Qn+1=0 S=1,R=1:Qn+1= RS功能功能 特性表与逻辑功能特性表与逻辑功能当CP=0时,输入信号RS对触发器状态无影响 当CP=1时,触发器具有置1置0和保持原状态不变的功能 R=R=1时,触发器输出状态不定 特性表特性表2 触发方式触发方式触发器为时钟高电平触发方式。触发器为时钟高电平触发方式。 同步同步 RS 触发器的特性方程触发器的特性方程 0

16、00010101010101101011000111101Qn+1QnSR特特性性表表同步同步RS触发器触发器Qn+1的卡诺图的卡诺图RSQn0100 0111 10 1 1 1 特性方程特性方程nnQRSQ 1RS = 0( (约束条件约束条件) )RS 触发器功能也可用特性表与触发器功能也可用特性表与特性方程来描述。特性方程来描述。特性方程特性方程指触发器次态与输入信号和电路原有指触发器次态与输入信号和电路原有状态之间的逻辑关系式。状态之间的逻辑关系式。 3状态转换图状态转换图 10S=0R=1S=1R=0S=xR=0S=0R=x逻辑功能表逻辑功能表nQ10000SRQnQn+1 说说 明

17、明000001状态不变状态不变001101状态同状态同S110001状态同状态同S111101状态不定状态不定111任何电路结构的任何电路结构的 RS触发器都有与此相同的功能表、特性触发器都有与此相同的功能表、特性方程及状态转换图。方程及状态转换图。 CP S R Q SRQn+1 00Qn 01 0 10 1 11 同步同步RS触发器真值表触发器真值表在在CP为低电平期间,触发器的状态不变。为低电平期间,触发器的状态不变。 在在CP为高电平期间,为高电平期间,R、S信号影响触发器的状态。信号影响触发器的状态。 同步同步RS触发器的工作波形触发器的工作波形 4同步同步RS触发器存在的问题:触发

18、器存在的问题: C P S R Q 在在CP的高电平期间,如的高电平期间,如R、 S变化多次,则触发器的状态也会变化多次。触变化多次,则触发器的状态也会变化多次。触发器不能实现每来一个时钟只变化一次。发器不能实现每来一个时钟只变化一次。 若要达到每来一个时钟只变化一次,对信号的要求是:信号的最小周期大于若要达到每来一个时钟只变化一次,对信号的要求是:信号的最小周期大于时钟周期。时钟周期。电路对信号的敏感时间长,抗干扰能力差。电路对信号的敏感时间长,抗干扰能力差。 G3 G4 G1 G2 S R D G1 G2 CP Q Q (a) D 触发器的构成 1 D D CP D CP Q Q (c)

19、逻辑符号 CP G3 G4 & & Q Q (b) D 触发器的简化电路 S R & & & & & & 为了解决RS触发器的R、S之间的约束问题,对同步RS触发器进行修改 G3 G4 G1 G2 S R D G1 G2 CP Q Q (a) D 触发器的构成 1 D D CP D CP Q Q (c) 逻辑符号 CP G3 G4 & & Q Q (b) D 触发器的简化电路 S R & & & & & & DQDDQRSQnnn1CP=1期间有效期间有效将将S=DS=D、 R=D R=D 代入同步代入同步RSRS触发器的特性方程,得同步触发器的特性方程,得同步D D 触发器的特性方程:触发器

20、的特性方程:使基本RS触发器的两个输入端信号只能是01或者10两种组合。同步同步 D 触发器触发器电路结构、逻辑符号和逻辑功能电路结构、逻辑符号和逻辑功能 同步同步 D 触发器功能表触发器功能表 CPDQn+1说明说明10101置置0置置10Qn不变不变称为称为 D 功能功能特点:特点:Qn+1 跟随跟随 D 信号信号1 D 触发器的触发器的特性表、特性方程和特性表、特性方程和状态转换图状态转换图 01D=1D=0D=0D=1D触发器特性表触发器特性表2特性方程特性方程无约束无约束状态转换图状态转换图 Qn+1 = DCP=1期间有效期间有效 电路图与时序波形图电路图与时序波形图【例例】电平触

21、发型电平触发型D触发器的电路如下图所示,触发器的电路如下图所示,D为输入信号,为输入信号,CP为时为时钟信号,设初始状态为钟信号,设初始状态为0,确定输出端,确定输出端Q的波形。的波形。解:解: 在在CP=1时,时,Q输出端的信号总是和输出端的信号总是和D输入信号相同;而在输入信号相同;而在CP=0时,时,Q的输出保持原来的状态不变。故的输出保持原来的状态不变。故Q输出波形如下所示。输出波形如下所示。同步同步 JK 触发器触发器 克服同步克服同步RS触发器在触发器在R=S=1时出现不定态的另一种方法是时出现不定态的另一种方法是将触发器输出端将触发器输出端Q和和 输出的互补状态反馈到输入端,这样

22、输出的互补状态反馈到输入端,这样G3、G4不会同时输出不会同时输出0,避免了不定态的出现,电路结构如图所示,避免了不定态的出现,电路结构如图所示这样构成了一个双输入的触发器,这样构成了一个双输入的触发器,J和和K为信号输入端。为信号输入端。逻辑图逻辑图逻辑符号逻辑符号Q 当当CP=0时,时,G3、G4封锁,触发器保持封锁,触发器保持原状态不变;原状态不变; 当当CP=1时,时,G3、G4解除封锁,输入解除封锁,输入J、K端的信号可控制触发器的状态。端的信号可控制触发器的状态。将将 代入基本代入基本RS触发器的特性方程,可得到同步触发器的特性方程:触发器的特性方程,可得到同步触发器的特性方程:n

23、dSJQndRKQ1nnddnnnnnQSR QJQK Q QJQK Q 工作原理1 同步JK触发器特性表 在CP时钟脉冲控制下,根据输入信号J、K情况的不同,触发器具有置0、置1、保持和翻转功能。 2011JK1JK0JK0JK特性方程特性方程nnnQKQJQ 13逻辑功能的特性方程,状态转换图、波形图状态转换图状态转换图 波形图波形图同步同步T触发器触发器 将同步JK触发器电路中的J和K连在一起改作T,作为输入信号便构成同步T触发器。将T替代JK触发器特性方程中的J和K便得到T触发器的特性方程 同步触发器特点:当T=1 时,触发器在时钟作用下,每来一个时钟信号它的状态就翻转一次;而当T=0

24、时,触发器的状态保持不变。 T触发器触发器 如果在T触发器中令T=1,那么每输入一个CP脉冲,触发器状态翻转一次,这种触发器称T触发器,其特征方程 1nnnQTQTQ1nnQQ逻辑符号同步触发器的特点同步触发器的特点 同步触发器的同步触发器的触发方式触发方式为为电平触发式电平触发式 同步触发器的共同缺点是存在同步触发器的共同缺点是存在空翻空翻 触发脉冲作用期间,输入信号发生多次变化时,触触发脉冲作用期间,输入信号发生多次变化时,触发器输出状态也相应发生多次变化的现象称为空翻。发器输出状态也相应发生多次变化的现象称为空翻。 空翻可导致电路工作失控。空翻可导致电路工作失控。指时钟脉冲信号控制指时钟

25、脉冲信号控制触发器工作的方式触发器工作的方式 CP = 1 期间翻转的称正电平触发式;期间翻转的称正电平触发式; CP = 0 期间翻转的称负电平触发式。期间翻转的称负电平触发式。 7.3 边沿触发器边沿JK触发器电路、符号1边沿 JK 触发器工作原理分析DR当 =0、 =1时,门A、B均输出0, 门G4输出为1,因此门C输出为1, 实现异步置0。当 =1、 =0 时,门C、D均输出0, 门G3输出为1,因此门B输出为1, ,实现异步置1。1Q 0QDSDSDR1Q 0Q 1DR 1DS 10nQ11nQ在 、 条件下 当CP=0,与非门 G3、G4封锁,不接收J、K信号输入,其输出为1,与门

26、A、D被封锁,使触发器的状态保持不变。如触发器原状态为Q=0、/Q=1,则与门C输入全1,输出 ,与门A和B输入都有0,输出2当 CP =1 时,与非门G3、G4打开,接收 J、K信号输入 触发器的输出仍保持不变 1nnnnnnDDQQCP SQ S SQQSQ 1nnnnnnDDQQCP RQR RQQRQ 边沿 JK 触发器工作原理分析2 边沿 JK 触发器工作原理分析0nnnnQQQRQR nSJQnRKQ10nnnnnnDDQQCP SQ S SQQ SQS 1nnQQRS1nnnnnnQQKQJQJQKQ 在CP 由1到0时,CP信号是直接加到与门 A、D输入端,但G3 、G4的输出

27、 S和R需要经过一个与非门延迟才能变为1。设 为G2在这一瞬间的输出,则 S 、R 在没有变为1以前,维持CP下降前的值 Q式1代入式1得将R、S代入上式,得显然,这是JK 触发器的特征方程。 由以上分析可知,在CP=0及 CP =1期间,触发器状态均维持不变,只有时钟下降沿到达时刻的J、K值才能对触发器起作用,并引起翻转,实现了边沿触发JK触发器的功能。2CP 触发的边沿触发的边沿 JK 触发器触发器 具有异步端的具有异步端的边沿边沿 JK 触发器触发器 QQ1JJ CP1KKRSC1RDSDQQ1JJ CP1KKRSC1RDSD异步端低电平有效异步端低电平有效异步端高电平有效异步端高电平有

28、效RRDRRDSSDSSD异步端低电平有效边沿JK触发器功能表CP 出发的边沿出发的边沿 JK 触发器触发器 以CP下降沿触发的JK触发器为例JK触发器的时序图 边沿触发型边沿触发型JK触发器器件触发器器件 Q 下降沿触发下降沿触发的的JK触发器触发器JK FLIP-FLOPS1K1J1PRN1CLK1CLRN2K2J2PRN2CLK2CLRN1QN2Q1Q2QN74112inst1JK FLIP-FLOPS1PRN2PRN1J1K1CLK2J2K2CLK1QN1Q2Q2QN74113inst2JK FLIP-FLOPS1PRN2J2PRN1KCLK1J2KCLRN2Q1QN1Q2QN7411

29、4inst4上升沿触发的上升沿触发的JK触发器触发器 Q 下降沿触发型下降沿触发型JK触发器内部结构触发器内部结构 VCC1PRNINPUTGND1JINPUTGND1KINPUTVCC1CLRNINPUTVCC1CLKINPUT1QNOUTPUT1QOUTPUTNOT23NOT6PRNCLRNKJQJKFF874112JK FLIP-FLOPS1K1J1PRN1CLK1CLRN2K2J2PRN2CLK2CLRN1QN2Q1Q2QN74112inst1集成JK触发器74LS112(a) 外引脚图 (b) 逻辑符号 常用的有74LS112、CC4027等。 74LS112为负边沿触发的双JK触发

30、器。SD、RD分别为异步置1端和异步置0端,均为低电平有效。 1. 74LS112的外引脚图和逻辑符号 2. 逻辑功能 74LS112的功能表 3. 时序图74LS112的时序图边沿D触发器 电路结构、逻辑符号 维持维持-阻塞阻塞D触发器的逻辑功能与同步触发器的逻辑功能与同步D触发器的功能触发器的功能相同,因此,特性表和真值表也相同。区别在于维持相同,因此,特性表和真值表也相同。区别在于维持-阻塞阻塞D触发器只有在触发器只有在CP上升沿到达时刻才有效上升沿到达时刻才有效1CP 触发的边沿触发的边沿 D 触发器触发器 执行执行 Qn+1 = D1111在在 CP 时刻时刻0011Qn111保持不

31、变保持不变Qn011禁禁 用用不定态不定态00异步置异步置 1101异步置异步置 0010说说 明明Qn+1DCPSDRD异步端低电平有效的异步端低电平有效的上升沿触发式上升沿触发式 D 触发器功能表触发器功能表具有异步端边具有异步端边沿沿 D 触发器触发器 2边沿边沿 D 触发器逻辑符号、功能表触发器逻辑符号、功能表( (1) ) 弄清弄清时钟触发沿时钟触发沿是上升沿还是下降沿?是上升沿还是下降沿?( (2) )弄清有无异步输入端?弄清有无异步输入端?异步置异步置 0 端和异步端和异步置置 1 端端是低电平有效还是高电平有效?是低电平有效还是高电平有效?( (4) ) 边沿触发器的逻辑功能和

32、特性方程与同步边沿触发器的逻辑功能和特性方程与同步触发器的相同,但由于触发方式不一样,触发器的相同,但由于触发方式不一样,因此,它们的逻辑功能和特性方程成立的因此,它们的逻辑功能和特性方程成立的时间不同。时间不同。边沿触发器的逻辑功能和特性边沿触发器的逻辑功能和特性方程只在时钟的上升沿方程只在时钟的上升沿( (或下降沿或下降沿) )成立。成立。( (3) ) 异步端不受时钟异步端不受时钟 CP 控制控制,将直接实现置,将直接实现置 0 或置或置 1。触发器工作时,应保证异步端接非。触发器工作时,应保证异步端接非有效电平。有效电平。注意 Q 【例例】下图为边沿下图为边沿D触发器构成的电路图,设触

33、发器的初始状态触发器构成的电路图,设触发器的初始状态Q1Q0=00,试确定试确定Q0及及Q1在时钟脉冲作用下的波形。最后用在时钟脉冲作用下的波形。最后用QuartusII的时序仿真器的时序仿真器验证。验证。电路图电路图 波形图波形图解:解:由于两个由于两个D触发器的输入信号分别为另一个触发器的输入信号分别为另一个D触发器的输出,因此在确触发器的输出,因此在确定它们的输出端波形时,应分段交替画出定它们的输出端波形时,应分段交替画出Q0及及Q1的波形(图的波形(图6-13)。)。第第1个个CP脉冲到来时,初始状态脉冲到来时,初始状态Q1Q0=00,D0=1,D1=0,因此,因此Q0=1,Q1=0;

34、第第2个个CP脉冲到来时,现态脉冲到来时,现态Q1Q0=10,D0=1,D1=1,因此,因此Q0=1,Q1=1; 第第3个个CP脉冲到来时,现态脉冲到来时,现态Q1Q0=11,D0=0,D1=1,因此,因此Q0=0,Q1=1; 第第4个个CP脉冲到来时,现态脉冲到来时,现态Q1Q0=01,D0=0,D1=0,因此,因此Q0=0,Q1=0 。 Q D FLIP-FLOPS2D2PRN1CLK1D1PRN2CLK2CLRN1CLRN1QN2Q2QN1Q7474inst74LS74结构图结构图 7474的内部结构带异步清零端和异步置的内部结构带异步清零端和异步置1端的边沿端的边沿D触触异步清零端和异

35、步置异步清零端和异步置1端任何时候都不端任何时候都不受受CP脉冲和输入信号的控制脉冲和输入信号的控制双D触发器74LS74(a) 外引脚图 (b)逻辑符号 1. 双D触发器74LS74外引脚图和逻辑符号 2. 逻辑功能 双D触发器74LS74的功能表 触发方式为CP上升沿触发。 低电平有效的异步置0端和异步置1端 3. 时序图74LS74的时序图7.4触发器逻辑功能的转换 公式法转换公式法转换 分别写出转换前后触发器的特性方程 比较两个触发器的特性方程,求出转换电路的逻辑表达式 画出逻辑电路图1. JK D2. JK T因此,令因此,令J = K =D 已有已有Qn+1 = JQn+ KQn欲

36、得欲得 Qn+1 = D13. D JK已有已有 Qn+1 = D欲得欲得Qn+1 = JQn + KQn因此,令因此,令nnQKQJ nnQKQJD 4. D T已有已有 Qn+1 = D 欲得欲得Qn+1 =nQT nQT 因此,令因此,令D =图表法转换图表法转换1(1)根据期待触发器的特性表和已有触发器的驱动表列出转换电路的真值表(2)根据真值表求出转换电路的逻辑表达式(3) 画出逻辑电路图 T-JK触发器功能转换表( ,)T J K QJKQJKQJKQJKQJQKQJQ KQ由表可得T转换为JK的逻辑图单脉冲发生器电路单脉冲发生器电路 电路图波形图工作原理当电源接通后,由于FF1触

37、发器的 端为0,所以触发器输出 =1。此时FF0状态不确定。经过一个时钟脉冲作用后,由于FF0控制输入端 则当用手按下按钮开关, FF0的状态翻转为1,又因 所以触发器FF1也处于翻转状态。故按钮按下后第一个时钟上升沿 Q0由0变1,第二个时钟脉冲上升沿Q0由1变0,产生一个负边沿引起FF1触发器的翻转, 由1变0 .又由于 与FF0触发器的异步置零端相连,因此FF0触发器置0。经过上述过程,一个单脉冲便可产生。这个单脉冲由触发器FF0的Q0端输出,它是一个正脉冲。 R1Q00J10K00Q11R111 KJ1Q100 KJ1Q四位流水灯电四位流水灯电 路路 工作原理其中FF0和FF1构成四进

38、制计数器,输出端 作为二四线译码器(74LS139)的地址信号,译码输出信号依次点亮4个发光二极管。0Q1Q电路图及波形7.5 边沿JK触发器的HDL描述library ieee;use ieee.std_logic_1164.all;entity jkcfq is port(j,k,clk:in std_logic; q,qb:out std_logic);end entity jkcfq;architecture art of jkcfq issignal q_s,qb_s:std_logic;begin process(clk,j,k) isbeginif (clkevent and c

39、lk=1) thenif(j=0 and k=1) then q_s=0; qb_s=1;elsif (j=1 and k=0) then q_s=1; qb_s=0;elsif (j=1 and k=1) then q_s=not q_s; qb_s=not qb_s; end if;end if ;q=q_s;qb=qb_s;end process;end architecture art;library ieee;use ieee.std_logic_1164.all;entity syndcfq isport(d,clk,reset:in std_logic;q:out std_logic);end entity syndcfq;architecture art of syndcfq isbegin process(clk) isbeginif(clkevent and clk=1) thenif(reset=0) then q=0; -时钟边沿到来且有复位信号,触发器被复位else q=d; end if;end if;end process;end architecture art;7.5 边沿D触发器的HDL描述 触发器是具有记忆功能的基本逻辑单元,它有两个稳定状态,在外界信号作用下,可以从一个稳态转变为另一个稳态;无外界信号作用时状态

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论