数字电压表课程设计(1)课案_第1页
数字电压表课程设计(1)课案_第2页
免费预览已结束,剩余35页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、电气工程学院课程设计题目:数字电压表学生姓名学院电气工程学院指导老师彭世林专业微机技术与原理答辩日期摘要1第1章概述错误!未定义书签。1.1研究背景1.2设计思想及基本功能3第2章总体方案设计32.1方案选取32.2系统框图4第3章硬件电路设计43.1电源电路设计43.1.17805概述.43.1.2电源电路.43.2晶振电路53.3复位电路6第4章系统软件设计124.1主程序流程图12第5章总结125.1程序编译125.2仿真结果16参考文献致谢摘要在电路设计中我们时常会用到电压表,过去大部分电压表还是模拟的,虽然精度较高但模拟电压表采用用指针式,里面是磁电或电磁式结构,所以响应较慢。为适应

2、许多高速信号领域目前已广泛使用数字电压表。该系统采用AT89C52单片机作为控制核心,以ADC0808为数据采样系统,实现被测电压的数据采样;使用系列比较器检测输入电压的范围,并通过继电器阵列实现了输入量程的自动转换;使用共阴极数码管显示被测电压。关键词:AT89C52单片机、电压检测、ADC0808模数转换12第1章概述1.1研究背景数字电压表出现在上世纪50年代初,60年代末发张起来的电压测量仪表,简称DVM,它采用的是数字化测量技术,把连续的模拟量,也就是连续的电压值转变为不连续的数字量,加以数字处理然后通过显示器件显示。这种电子仪表之所以出现,一方面是由于电子计算机的应用推广到系统的自

3、动控制信号的实验领域,提出了各种被观测量或被控制量转换成数字量的要求,即为了实时控制和数据处理的要求;另一方面,也是电子计算机的发展,带动了脉冲数字电路技术的发展,为数字化仪表的出现提供了条件。所以,数字化测量仪表的产生与发展与电子计算机的发展是密切相关的;同时,为革新电子测量中的烦琐与陈旧方式也促进了它的飞速发展。如今,它又成为向智能化仪表发展的必要桥梁。如今,数字电压表已经绝大部分取代了传统的模拟指针式电压表,因为传统的模拟指针式电压表功能单一,精度低,读数的时候非常不方便还经常出错,而采用单片机的数字电压表由于测量精度高,速度快,读数时也非常方便,抗干扰能力强,可扩展性强等优点已被广泛应

4、用与电子和电工测量,工业自动化仪表,自动测量系统等领域。显示出强大的生命力。数字电压表最初是伺服步进电子管比较式,其优点是准确度比较高,但是采样速度较慢,体积重达几十公斤。继之出现了谐波式电压表,它的速度方面稍有提高但准确度低,稳定性差,再后来出现了比较式仪表改进逐次渐进式结构,它不仅保持了比较是准确度高的优点,而且速度也有了很大的提高,但它有一缺点就是抗干扰能力差,很容易受到外界因素的影响,随后,在谐波式的基础上双引申出阶梯波式,它的唯一进步就是成本降低了,可是准确度,速度及抗干扰能力都未提高。而数字电压表的发展已经非常成熟,就原理来讲,它从原来的一两种已经发展到多种,在功能上讲,它从测单一

5、的参数发展到能测多种参数;从制作原件看,发展到集成电路,准确度已经有了很大的提高,精度已经达到1NV,读数速度达到每秒几目万次,而相对以前价格已经降低了很多。前实现电压数字化测量的方法仍然是模一数(A/D)转换的方法。数字电压表分类繁多,日常生活中一般根据原理的不同进行分类,大致分为:比较式,电压一时间变换式,积分式等。在电量的测量中,电压,电流和频率是最基本的三个被测量,其中电压量的测量最经常。而且随着电子技术的发展,更是需要经常测量高精度的电压,所以数字电压就成为必不可少的测量仪器。另外,数字测量仪器具有读数准确方便,精度度高,误差小,灵敏度高,分辨率高,测量速度快等特点倍受用户亲睐,数字

6、电压表的设计就基于这种需求发展起来。本设计将用AD转换芯片ADC0808对模拟信号进行转换,AD转换芯片ADC0808的基准电压端,被测量电压输入端分别输入基准电压和被测电压。AD转换芯片ADC0808将被测量电压输入端所采集到的模拟电压信号转换成相应的数字信号。然后再通过对单片机AT89SC52进行软件编程,使单片机按规定的时序采集这些数字信号,通过一定的算法计算算出被测量电压值,最后驱动数码管进行电压显/示O1.2设计思想及基本功能简易数字电压表可以测量范围0至5伏范围内的8路输入电压值,并在4位LED数码管上轮流显示或选择显示。其测量最小分辨率为0.02V。本系统主要包括四大模块:数据采

7、集模块、控制模块、显示模块、A/D转换模块。绘制电路原理图与工作流程图,并进行调试,最终设计完成了该系统的硬件电路。在软件编程上,采用了汇编语言进行编程,开发了显示模块程序、通道切换程序、A/D转换程序。实现功能1对被测电压量的采集;2通过A/D转换器将模拟量转换为数字量;3通过89C51单片机驱动数码管显示出电压值.第2章总体方案设计2.1方案选取方案1:选用单片机AT89C52和A/D转换芯片ADC0808实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换精度高,且转换的过程和控制、显示部分可以控制。方案2:选用专用转化芯片INC7107实现电压的测量和

8、实现,用四位数码管显示出最后的转换电压结果。缺点是精度比较低,内部电压转换和控制部分不可控制。优点是价格低廉。基于课程设计的要求和已经买有AT89C52芯片,我选用了:方案1。2.2系统框图将数据采集接口电路输入电压传入ADC0809数模转换元件,经转换后通过OUT1至OUT8与单片机P0口连接,把转换完的模拟信号以数字信号的信号的形式传给单片机,信号经过单片机处理从LED数码显示管显示。P3实现通道选择,P2口接数码管位选,P1接数码管,实现数据的动态显示。如下图所示:2.3软硬件开发环境硬件选择:选择AT89C52作为单片机芯片,选用8段LED数码管实现电压显示,利用ADC0808作为数模

9、转换芯片,利用P0至P4的各个串口来进行不同设备间的连接,计算机进行汇编,ISIS7Professional仿真器等。软件开发环境:用Protel99SE软件画电路图。第3章硬件电路设计3.1电源电路设计3.1.17805概桦U?丿TREGVinDVout7805是我们最常用到的稳压芯片了,它的使用方便,用很简单的电路即可以输入一个直流稳压电源,它的输出电压为5v。27805引脚图其中1接整流器输出的+电压,2为公共地(也就是负极),3就是我们需要的正5V输出电压了。3.1.2电源电路+GND基本参数:输出电压:4.75-5.25V;静态电流:4.2-8mA;输出噪音电压:40uV;纹波抑制比

10、:78dB;输出电阻:17mQ;输出电压温度系数-l.lmV/°C;3.2晶振电路DIODEDIODEC1I3(TrpFsi<TEXTIODEDIODEC2XIRYSTAL<TEXT>30pF电路中的晶振即石英晶体震荡器。由于石英晶体震荡器具有非常好的频率稳定性和抗外界干扰的能力,所以,石英晶体震荡器是用来产生基准频率的。通过基准频率来控制电路中的频率的准确性。同时,它还可以产生振荡电流,向单片机发出时钟信号。DIODEJ?晶振电路用于产生单片机工作所需的时钟信号,使用晶体震荡器时,c2,c3取值2040PF,使用陶瓷震荡器时c2,c3取值3050PF。在设计电路板

11、时,X.121MJ:J13TX二"不MlflJL3-E艾";L;晶振和电容应尽量靠诉芯片,以减小分布电容,保证震荡器的稳定性。18引脚接XTAL1,引脚接XTAL1接外部晶体的一个引脚,XTAL2接外晶体的另一端。在单片机内部,接至上述振荡器的反相放大器的输出端。采用外部振荡器时,对HMOS单片机,该引脚接外部振。在石英晶体的两个管脚加交变电场时,它将会产生一定频率的机械变形,而这种机械振动又会产生交变电场,上述物理现象称为压电效应。一般情况下,无论是机械振动的振幅,还是交变电场的振幅都非常小。但是,当交变电场的频率为某一特定值时,振幅骤然增大,产生共振,称之为压电振荡。这

12、一特定频率就是石英晶体的固有频率,也称谐振频率。石英晶振起振后要能在XTAL2线上输出一个3V左右的正弦波,以便使MCS-52片内的OSC电路按石英晶振相同频率自激振荡。通常,OSC的输出时钟频率fOSC为0.5MHz-16MHz,典型值为12MHz或者11.0592MHz。电容C1和C2可以帮助起振,典型值为30pF,调节它们可以达到微调fOSC的目的。3.3复位电路R1-<T1CEXT>:3I22pF1+5<TEXT><TEXT>1k复位电路的主要功能是使单片机进行初始化,在初始化的过程中需要在复位引脚上加大于2个机器周期的高电平。复位后的单片机地址初始

13、化为0000H,然后继续从0000H单元开始执行程序。在复位电路中提供复位信号,等到系统电源稳定后,再撤销复位信号。但是为了在复位按键稳定的前提下,电源稳定后还要经一定的延时才撤销复位信号,以防在按键过程中引起的抖动而影响复位。匚孑191C彳QCfW*1-其中,R1选择1k,C3选择22pF。3.4A/D转换电路A/D转换的作用是进行模数转换,把接收到的模拟信号转换成数字信号输出。在选择A/D转换时,先要确定A/D转换精度、转换速度以及转换位数等,A/D转换的位数确定与整个测量控制系统所需测量控制的范围和精度有关,在数字电压表设计中采用了8位A/D转换器ADC0808。卜CLOCKIN0STA

14、RTIN1IN2EOCIN3IN4OUTIIN5OUT2IN6OUT3IN7OUT4OUT5ADDAOUT6ADDBOUT7ADDCOUT8ALEVREF(+)OEVREF(-)10672120191881514179C?26272812345252423221216CAPADC0808是采样分辨率为8位的、以逐次逼近原理进行模/数转换的器件。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。ADC0808是ADC0809的简化版本,功能基本相同。一般在硬件仿真时采用ADC0808进行A/D转换,实际使用时采用ADC0809进行A/D转

15、换。引脚功能(外部特性)ADC0808芯片有28条引脚,采用双列直插式封装,如右图所示。各引脚功能如下:15和2628(IN0IN7):8路模拟量输入端。8、14、15和1721:8位数字量输出端。22(ALE):地址锁存允许信号,输入,高电平有效。A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。通道选择表如下表所示。cBA选择的通道000INO001INI010IN2011IN3100IN4101IN5110IN6111IN76 (START):A/D转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上升沿使0809复位,下降沿启动A/D转换)。7 (EO

16、C):A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。9(OE):数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。10(CLK):时钟脉冲输入端。要求时钟频率不高于640KHZ。12 (VREF(+)和16(VREF(-):参考电压输入端11(Vcc):主电源输入端。13 (GND):地。2325(ADDA、ADDB、ADDC):3位地址输入线,用于选通8路模拟输入中的一路.(1)(2).(3) .(4):(5)(4) .单片机主0809应用说明7-3.1A3Lh;ADC0809?内部初始化时

17、,送要转换的?T.IA3*在ST端给出一个至少有100nJAIIin5带有输出锁存器,可以与AT89S51单片机直接相连。使St和oe信号全为低电平。竺端口上。HJ.LX3I.-SAIIH是否转换完当EOC变为3IJTZHAK3C3JI.1-TZE3C工二JT3C3JIZ!;ZIKmniukti卫8与AT89C52j503_31"3>.T3哪一通道的地址到A,B,s宽的正脉冲信号来判断。高电平时,这时给OE为毕,我们根据EOC&连接示意图:&173.5AT89C52单片机介绍口m信号。 "zra TZTSItW3Ml33-nH心3KF曲3MS3US口K

18、7丄:AA33=,-转换的数据就输出给19182303T_OXTAL1XTAL2RSTP0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7P2.0/A8P2.1/A9P2.2/A10PSENP2.3/A11ALEP2.4/A12EAP2.5/A13P2.6/A14P2.7/A15P1.0/T2P3.0/RXDP1.1/T2EXP3.1/TXDP1.2P3.2/INTOP1.3P3.3/INT1P1.4P3.4/T0P1.5P3.5/T1P1.6P3.6/WRP1.7P3.7/AD39383736353433322122

19、23242526272810110亠丄1415AT89C52是一种低电压、高性能CMOS8位单片机,片内含有8KB的可反复写的只读程序存储器和256KB的随机存取数据存储器(RAM),器件采用ATMEL公司高密度、非易失性存储器技术制造兼容MCS-51产品指令系统。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8位CPU和Flash存储单元,使得AT89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。a. 主电源引脚Vss-(20脚):地线Vcc-(40脚):+5V电源b. 外接晶振或外部振荡器引脚XTAL1-(19脚):当采用芯片内部时钟信号时

20、,接外部晶振的一个引脚;当采用外部时钟信号时,此脚应接地。XTAL2-(18脚):当采用芯片内部时钟信号时,接外部晶振的一个引脚;当采用外部时钟信号时,外部信号由此脚输入。C.控制、选通或电源复用引脚RST/VpO-(9脚):复位信号输入;Vcc掉电后,此脚可接上备用电源,在低功耗条件下保持内部RAM中的数据。ALE/PR0G-(30脚):ALE即允许地址锁存信号输出,当单片机访问外部存储器时该脚的输出信号用于锁存P0的低8位地址,其输出的频率为时钟振荡频率的1/6。PROG为编程脉冲输入端,当选用8751单片机时,由此脚输入编程脉冲。/PSEN-(29脚):访问外部程序存储器选通信号,低电平

21、有效,用于实现外部程序存储器的读操作。/EA/Vpp-(31脚):EA为访问内部或外部程序存储器选择信号,EA=0,单片机只访问外部程序存储器,故对8031此脚只能接地;EA=1,单片机访问内部程序存储器,固对8051和8751此脚应接高电平,但若程序指针PC值超过4KB(0FFFH)范围,单片机将自动访问外部程序存储器。d.多功能I/O引脚P0口-(3239脚):P0数据/地址复用总线端口。P1口-(18脚):P1静态通用端口。P2口-(2128脚):P2动态端口。P3口-(1017脚):P3双功能静态端口。除作I/O端口外,它还提供特殊的第二功能,其具体含义为:P3.0-(10脚)RXD:

22、串行数据接收端。P3.1-(11脚)TXD:串行数据发送端。P3.2-(12脚)INT0:外部中断0请求端,低电平有效。P3.3-(13脚)INT1:外部中断1请求端,低电平有效。3.6显示电路DS11.aDPY2ba3:百45fedlc67gdP8©dpDPY_7-SEG_DPLED数码管显示器是由发光二极管显示字段的显示器件,也称为数码管。其外形结构如图所示。它由8个发光二极管构成,通过不同的组合可用来显示0-9、A-F及小数点“”等字符。数码管有共阴极和共阳极两种结构规格,。电阻为外接。共阴极数码管的发光二极管阴极共地,当某发光二极管的阳极为高电平时,二极管点亮;共阳极数码管的

23、发光二极管是阳极,并接高电平,对于需点亮的发光二极管将其阴极接低电平即可。LED数码管采用动态扫描方式连接,通过AT89C52的P1口和P2.0P2.3口控制。P1口为LED数码管的字段码输出端,P2.0P2.3口为LED数码管的位选码输出端,连接图如下图所示:3.7完整电路图iiC1ll30pF丄<TEXT>X1CRYSTAL19C218<TEXT>30pF<TEXT>C3EXT>I,+522pF<TEXT>2930 311TT4J62_8U1XTAL1P0.0/AD0P0.1/AD1XTAL2P0.2/AD2P0.3/AD3P0.4/A

24、D4P0.5/AD5RSTP0.6/AD6P0.7/AD7P2.0/A8P2.1/A9P2.2/A10PSENP2.3/A11ALEEAP2.4/A12P2.5/A13P2.6/A14P2.7/A15P1.0/T2P3.0/RXDP1.1/T2EXP3.1/TXD'P1.2P3.2/INT0P1.3P3.3/INT1'P1.4P3.4/T0P1.5P3.5/T1P1.7P3.7/AD101314ZZ!17AT89C52<TEXT>DS1DS212345678gdpabecddp12345678gdpf_'b二ddp4.1主程序流程图3913823733643

25、5534633732821g122g223g3g42425I?近28106876543212120T918T5"辽77U3CLOCKIN0STARTIN1IN2EOCIN3IN4OUTIIN5OUT2IN6OUT3IN7OUT4OUT5ADDAOUT6ADDBOUT7ADDCOUT8ALEVREF(+)OEVREF(-)ADC0808<TEXT>+52627亟i24252£23221216RV1<TEXT>Vorts+12345678DS3aDPYbIa|df_bdgdpdpDS4+33.12345678gdpddp第4章系统软件设计第5章总结g1g

26、2g3g45.1程序编译首先需要编写程序,程序编写完成以后,通过KeiluVision3软件进行编译。如果出现错误,需要修改程序排除错误,知道编译正确为止。下边是我30软件调试的主要任务是排软件以对基于微控制器的设计以实仿真系列为显试。38vioiddBlayfuintx)壮4C4142ror(K=z:X:0:k一一Ifor(/=l10;y>0;);冋44-voidinitial)错误,错误主要包括逻辑和功能错误,这些错误通过仿真开发系统发现逐步改正。诗误,48可以通50器件Proteus采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互Proteus支持的微处理

27、芯片包括8051系列、AVR系列、PIC系列、HC11,rlWTl3a.名称修改白馬Z80等等。Proteus可以完成单片机系统原理图电路绘制、PCB设计,更点的特点是可以与Visions3IDE工具软件结合进行编程仿真调;C.C立件匸文样C.hex2013/12/2514:23HEX文悴c.LSTC.M51h=ra库B视頻圜述迅重T裁1果编译没有错误,口aDc.OBJC.OptC.plgC.Uv2C_Opt.Bak2C13/12/2&14:23IMP文祥2013/12/2&14:23LST文件2013/12/251423M肛立件2013/12/2&14:23OBJ丈措

28、013/12/2520:25PT文悴2013/12/251423PLG13/12/2411:43VisionPr013/12/2423153BAK文禅2C13/12/2411142酣反文件L计算忸%两宕将生成的.hex烧进AT89C52单片机中。5.2仿真结果将写好的程序下载到仿真软件中的89C52单片机中,点击运行,观察数码管显示数值与图中输入电压进行比较.H1_=J2930pF口X174LS04両12MHZ18XTAL1XTAL24R1C3RSTP0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7393736353

29、4331k22pF31PSENALEEAP2.0/A8P2.1/A9P2.2/A10P2.3/A11P2.4/A12P2.5/A13P2.6/A14P2.7/A152122g22332g424262728P1.0/T2P1.1/T2EXP1.2P1.3P1.4P1.5P1.6P1.7P3.0/RXDP3.1/TXDP3.2/INT0P3.3/INT1P3.4T0P3.5/T1P3.6/WRP3.7/RD17101112AT89C5217141518192021U3OEOUT8OUT7OUT6OUT5OUT4OUT3OUT2OUT1EOCSTARTCLOCKADC0808VREF(-)VREF(

30、+)ALEADDCADDBADDAIN7IN6IN5IN4IN3IN2IN1IN01铳粘'U130pFI'X174LS0412MHZ18R1C31k22pF31123456XTAL1XTAL2RSTP0.0/AD0P0.1/AD1P0.2/AD2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6P0.7/AD7P2.0/A8P2.1/A9P2.2/A10ESENP2.3/A11ALEP2.4/A12EAP2.5/A13P2.6/A14P2.7/A15P1.0/T2P30/RXDP1.1/T2EXP31/TXDP1.2P3.2/INT0P1.3P3.3/INT1P1

31、.4P3.4/I0P1.5P3.5/11P1.6P3.6/WRP1.7P3.7/RDAT89C52C1X174LS12MHZ30pF1kC322pF3.67812.393837363534333221+gdg4亠-2526亠28-0-_U_42亠亠1617171415U3OEVREF(-)VREF(+)OUT8ALEOUT7ADDCOUT6ADDBOUT5ADDAOUT4OUT3IN7OUT2IN6OUT1IN5IN4EOCIN3IN2'STARTIN1CLOCKfN0"ADC08082726161222242518192021U3(iN0)V=2.999983000ffif

32、ZDEFGDF1E34RV11kU1XTAL1P0.0/AD0P0.1/AD1P0.2/AD2XTAL2P0.3/AD3P0.4/AD4P0.5/AD5P0.6/AD6RSTP0.7/AD7P2.0/A8P2.2/A10PSENP2.3/A11ALEP2.4/A12EAP2.5/A13P2.6/A14P2.7/A15P1.0/T2P3.0/RXDP1.1/T2EXP3.1/TXDP1.2P3.2/INT0P1.3P3.3/INT1P1.4P3.4/T0P1.5P3.5/T1P16P36/WR389P1.7P3.7/RD333229-30313736.3534AT89C52212223+5h17

33、14.158i18>19.2821g3g412J3OEVREF(-)VREF(+)OUT8ALEOUT7ADDCOUT6ADDBOUT5ADDAOUT4OUT3IN7OUT2IN6OUT1IN5IN4EOCIN3IN2STARTIN1CLOCKIN02228ADC08082726.23-24251612U3(IN0)-V=4.99954%001RV11k基于单片机的数字电压表使用性强、结构简单、成本低、外接元件少。在实际应用工作应能好,测量电压准确,精度高。系统功能、指标达到了课题的预期要求、系统在硬件设计上充分考虑了可扩展性,经过一定的改造,可以增加功能。我的设计主要实现了简易数字电压

34、表测量一路电压的功能,详细说明了从原理图的设计、电路图的仿真再到软件的调试。由于单片机AT89C51为8位处理器,当输入电压为5.00V时,ADC0808输出数据值为255(FFH),因此单片机最高的数值分辨率为0.0196V(5/255)。这就决定了电压表的最高分辨率只能到0.0196V。简易数字电压表测得的值基本上比标准电压值偏大0-0.01V,这可以通过校正ADC0808的基准电压来解决。因为该电压表设计时直接用5V的供电电源作为电压,所以电压可能有偏差。当要测量大于5V的电压时,可在输入口使用分压电阻,而程序中只要将计算程序的除数进行调整就可以了。附录1:1机器码:0A03FA00C0

35、F9A4B0999282F8809037:10030C00E4F510F5111203DEC2BlC2B0D2B0C2B026:10031C0030B204D2B180F9AF807510008FllC2B128:10032C00AC10ADllEC1201B9E4FBFA797F784312Fl:10033C0001177B007A40799C784512000E1201F26D:10034C008E0C8F0D7C007D0A1202738D08AE0CAFE3:10035C000D7C007D0A1202737C007D0A1202738DE3:10036C0009AE0CAF0D7C0

36、07D641202737C007D0AlB:10037C001202738D0AAE0CAF0D7C037DE812027372:07038C008F0B120393808127:0703B90093F5907F017E0027:1003C000D3EF9400EE940040147D6E7C00EDlD7020:0D03D000011CED4C70F7EFlF70E61E80E37E:0103DD0022FD:1003DE00758901758CFF758AECD2AFD2A9D28C22A9:03000B00020404E8:09040400758CFF758AECB2B3326D:100

37、3930075A0FEE5089003FA1203B975A0FDE509FF1003A3001203B975A0FBE50A1203B975A0F7E50BB30603B3001203B9C29722FB10000E00EC4D6011E8497017ED33EC3304600DE4EC10001E00FCFFFEFD22E933E8330470F802025E12A310002E00022958046009E4CC2481500628500902A410003E000268284003020265C0E0EB4A7044B980B210004E0006D0E0FB020254EF4E701

38、CBD8008EBFFA110005E00EAFEE9FD80EBE98DF0A4FEE5F00200F78310006E00E9CDF9EAFEEBFFEF89F0A4FCE5F0CE89CD10007E00F0A42EFFE435F0CD89F0A42DFEE435F08A10008E008067EF4E7005BD80D780C3EF8BF0A4ACB810009E00F0EE8BF0A42CFCE435F0F8EF8AF0A42CF31000AE00E5F038FCE433CB8DF0A42CFCE5F03BF8061000BE00EE8AF0A42CFCE5F038F8E433CF8

39、9F0A4F61000CE002CFCE5F038CF3400CE89F0A42FFFE5F0FC1000DE003EFEE433C98DF0A42EFEE5F039CD8AF0541000EE00A42FFFE5F03EFEE43DFD33D0E0FB5007CC1000FE000BBB000F020268EC2CFCEF33FFEE33FE5D06010E00ED33FD0202408A10011400020268EC5D046005E85904700302025EA310012400120229580460F6EC4860F2EC7004FDFEFB10013400FF22C860DB2

40、481C85009C39860025006BE100144000202659850CAF582E9294B4A7005AB82D01001540002025475F0007C1A7880C3EF9BEE9AED8E1001640099400DC3EF9BFFEE9AFEED99FDE842F03610017400DC23ACF0D0E0FFD0E0FED0E0FDAB82208910018400E7101BEB60BAEC2CFCEF33FFEE33FEED131001940033FD020240E803F830E705C0F075F000D31001A400EF2FFFEE33FEED33F

41、D40B830E7C280AAF71001B40075F020800E75F010800575F0087D007EC61001C400007F003392D530D5031202A9EC334010DE1001D400EF33FFEE33FEED33FDEC33FCD5F0ED22CF0E01E400E5F0247EA2D513CC92E7CDCEFF220B1001F200EDD2E7CD33EC3392D524814006E4FFFE05:10020200FDFC22FCE4CFCECDCC24E0501174FF8063:10021200EDC3CC13CCCD13CDCE13CECF1

42、3CF047000:07022200F030D5DE0202A955:10022900E9D2E7C933E833F892D5EDD2E7CD33EClB:0702390033FC5002B2D52294:10024000EC30E7100FBF000C0EBE00080DBD00041F:100250000BEB6014A2D5EB13FCED92E7FD2274FFCB:10026000FCFDFEFF22E480F8A2D574FF13FC7D8024:03027000E480EF38:030000000203EE0A:0C03EE00787FE4F6D8FD75811102030C45

43、:10027300C2D5EC30E709B2D5E4C39DFDE49CFCEEA6:1002830030E715B2D5E4C39FFFE49EFE1202B7C365:10029300E49DFDE49CFC80031202B730D507C3E460:0602A3009FFFE49EFE2215:0E02A900C3E49FFFE49EFEE49DFDE49CFC2266:1002B700BC000BBE0029EF8DF084FFADF022E4CC2B:1002C700F875F008EF2FFFEE33FEEC33FCEE9DECF4:1002D700984005FCEE9DFE

44、0FD5F0E9E4CEFD22ED3A:1002E700F8F5F0EE8420D21CFEADF075F008EF2F84:1002F700FFED33FD4007985006D5F0F222C398FD75:050307000FD5F0EA2211:00000001FF程序清单#include<reg52.h>#defineuintunsignedint#defineucharunsignedcharucharcodetable二0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90;uchardisp4;/定义数组变量sbitST

45、=P3"0;/定义START引脚sbit0E=P3;/定义OE引脚sbitEOC二P3"2;sbitCLK=P33;sbitpl7二P7;intgetdata,temp;voiddelay(uintz);voiddisplay。;voidinitial();voidmain()intgetdata=0;initial();while(l)OE=0;ST=0;ST=1;ST=0;while(EOC=1)OE=1;getdata=P0;OE=0;temp二getdata*1.0/255*5000;disp0=temp%10;disp1=temp/10%10;disp2=temp

46、/100%10;disp3=temp/1000;display();voiddelay(uintz)/定义EOC引脚/定义CLOCK引脚/定义数码管小数点/调用初始化函数/刚开始禁止将转换结果输出/启动A/D转换开始/等待转换结束/允许转换结果输出/将转换结果赋值给变量getdata/禁止转换结果输出/将得到的数据进行处理/取得个位数/取得十位数/取得百位数/取得千位数/调用显示子程序uintx,y;for(x二z;x>0;x)for(y=110;y>0;y);voidinitial()TM0D=0x01;TH0=(65536-20)/256;TL0=(65536-20)%256;EA=1;ET0=1;TR0=1;voidtimer0()interrupt1冲TH0=(

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论