pcb布局布线技巧经验大汇总_第1页
pcb布局布线技巧经验大汇总_第2页
pcb布局布线技巧经验大汇总_第3页
pcb布局布线技巧经验大汇总_第4页
pcb布局布线技巧经验大汇总_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、PCB电路板布局、布线根本原那么一、元件布局根本规那么1. 按电路模块进行布局,实现同一功能的相关电路称为一个模块,电路模块中的元件应采用就近集中原那么,同时数字电路和模拟电路分开;2.定位孔、标准孔等非安装孔周围1.27mm 内不得贴装元、器件,螺钉等安装孔周围3.5mm对于M2.5、4mm对于M3内不得贴装元器件;3. 卧装电阻、电感插件、电解电容等元件的下方防止布过孔,以免波峰焊后过孔与元件壳体短路;4. 元器件的外侧距板边的距离为5mm;5. 贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm;6. 金属壳体元器件和金属件屏蔽盒等不能与其它元器件相碰,不能紧贴印制线、焊盘,其间距应大

2、于2mm。定位孔、紧固件安装孔、椭圆孔及板中其它方孔外侧距板边的尺寸大于3mm;7. 发热元件不能紧邻导线和热敏元件;高热器件要均衡分布;8. 电源插座要尽量布置在印制板的四周,电源插座与其相连的汇流条接线端应布置在同侧。特别应注意不要把电源插座及其它焊接连接器布置在连接器之间,以利于这些插座、连接器的焊接及电源线缆设计和扎线。电源插座及焊接连接器的布置间距应考虑方便电源插头的插拔;9. 其它元器件的布置:所有IC元件单边对齐,有极性元件极性标示明确,同一印制板上极性标示不得多于两个方向,出现两个方向时,两个方向互相垂直;10、板面布线应疏密得当,当疏密差异太大时应以网状铜箔填充,网格大于8m

3、il(或0.2mm);11、贴片焊盘上不能有通孔,以免焊膏流失造成元件虚焊。重要信号线不准从插座脚间穿过;12、贴片单边对齐,字符方向一致,封装方向一致;13、有极性的器件在以同一板上的极性标示方向尽量保持一致。二、元件布线规那么1、画定布线区域距PCB板边1mm的区域内,以及安装孔周围1mm内,禁止布线;2、电源线尽可能的宽,不应低于18mil;信号线宽不应低于12mil;cpu入出线不应低于10mil或8mil;线间距不低于10mil;3、正常过孔不低于30mil;4、 双列直插:焊盘60mil,孔径40mil;1/4W电阻: 51*55mil0805表贴;直插时焊盘62mil,孔径42m

4、il;无极电容: 51*55mil0805表贴;直插时焊盘50mil,孔径28mil;5、 注意电源线与地线应尽可能呈放射状,以及信号线不能出现回环走线PCB板布线技巧在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的, 在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、 双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前, 可以用交互式预先对要求比拟严格的线进行布线,输入端与输出端的边线应防止相邻平行, 以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。自动布线的布

5、通率,依赖于良好的布局,布线规那么可以预先设定, 包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通, 然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。 并试着重新再布线,以改良总体效果。对目前高密度的PCB设计已感觉到贯穿孔不太适应了, 它浪费了许多珍贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用, 还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广阔电子工程设计人员去自已体会, 才能得到其中的真谛。1

6、电源、地线的处理既使在整个PCB板中的布线完成得都很好,但由于电源、 地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、 地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因, 现只对降低式抑制噪音作以表述:众所周知的是在电源、地线之间加上去耦电容。尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线电源线信号线,通常信号线宽为:0.20.3mm,最经细宽度可达0.050.07mm,电源线为1.22.5 mm对数字电路的PCB可用宽的地导线组成

7、一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用)用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。2 数字电路与模拟电路的共地处理现在有许多PCB不再是单一功能电路数字或模拟电路,而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个结点,所以 必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们之间互不相连,只是

8、在PCB与外界连接的接口处如插头等。数 字地与模拟地有一点短接,请注意,只有一个连接点。也有在PCB上不共地的,这由系统设计来决定。3 信号线布在电地层上在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量,本钱也相应增加了,为解决这个矛盾,可以考虑在电地层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是保存地层的完整性。 4 大面积导体中连接腿的处理在大面积的接地电中,常用元器件的腿与其连接,对连接腿的处理需要进行综合的考虑,就电气性能而言,元件腿的焊盘与铜面满接为好,但对元件的焊接 装配就存在一些不良隐患如:焊接需要大功率加热

9、器。容易造成虚焊点。所以兼顾电气性能与工艺需要,做成十字花焊盘,称之为热隔离heat shield俗称热焊盘Thermal,这样,可使在焊接时因截面过分散热而产生虚焊点的可能性大大减少。多层板的接电地层腿的处理相同。 5 布线中网络系统的作用在许多CAD系统中,布线是依据网络系统决定的。网格过密,通路虽然有所增加,但步进太小,图场的数据量过大,这必然对设备的存贮空间有更高的要求, 同时也对象计算机类电子产品的运算速度有极大的影响。而有些通路是无效的,如被元件腿的焊盘占用的或被安装孔、定们孔所占用的等。网格过疏,通路太少对布 通率的影响极大。所以要有一个疏密合理的网格系统来支持布线的进行。标准元

10、器件两腿之间的距离为0.1英寸(2.54mm),所以网格系统的根底一般就定为0.1英寸(2.54 mm)或小于0.1英寸的整倍数,如:0.05英寸、0.025英寸、0.02英寸等。6 设计规那么检查DRC布线设计完成后,需认真检查布线设计是否符合设计者所制定的规那么,同时也需确认所制定的规那么是否符合印制板生产工艺的需求,一般检查有如下几个方面:线与线,线与元件焊盘,线与贯穿孔,元件焊盘与贯穿孔,贯穿孔与贯穿孔之间的距离是否合理,是否满足生产要求。电源线和地线的宽度是否适宜,电源与地线之间是否紧耦合低的波阻抗?在PCB中是否还有能让地线加宽的地方。对于关键的信号线是否采取了最正确措施,如长度最

11、短,加保护线,输入线及输出线被明显地分开。模拟电路和数字电路局部,是否有各自独立的地线。后加在PCB中的图形如图标、注标是否会造成信号短路。 对一些不理想的线形进行修改。在PCB上是否加有工艺线?阻焊是否符合生产工艺的要求,阻焊尺寸是否适宜,字符标志是否压在器件焊盘上,以免影响电装质量。多层板中的电源地层的外框边缘是否缩小,如电源地层的铜箔露出板外容易造成短路。PCB板布线布局一PCB布局原那么首先,要考虑PCB尺寸大小。PCB尺寸过大时,印制线条长,阻抗增加,抗噪声能力下降,本钱也增加;过小,那么散热不好,且邻近线条易受干扰。在确定PCB尺寸后再按结构要素布置安装孔、接插件等需要定位的器件,

12、并给这些器件赋予不可移动属性,按工艺设计标准的要求进行尺寸标注。最后,根据电路的功能单元,对电路的全部元器件进行布局。1.布局操作的根本原那么A. 位于电路板边缘的元器件,离电路板边缘一般不小于2mm。电路板的最正确形状为矩形。长宽比为3:2成4:3。B. 遵照“先大后小,先难后易的布置原那么,即重要的单元电路、核心元器件应当优先布局 C. 布局中应参考原理框图,根据单板的主信号流向规律安排主要元器件 D. 布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短;高电压、大电流信号与小电流,低电压的弱信号完全分开;模拟信号与数字信号分开;高频信号与低频信号分开;高频元器件的间隔要充分 E.

13、以每个功能电路的核心元件为中心,围绕它来进行布局。元器件应均匀、 整齐、紧凑地排列在PCB上尽量减少和缩短各元器件之间的引线和连接。F. 相同结构电路局部,尽可能采用“对称式标准布局;同类型插装元器件在X或Y方向上应朝一个方向放置;同一种类型的有极性分立元件也要力争在X或Y方向上保持一致,便于生产和检验。2.布局操作技巧1. 元器件的排列要便于调试和维修,亦即小元件周围不能放置大元件、需调试的元、器件周围要有足够的空间。2. 元件布局时,应适当考虑使用同一种电源的器件尽量放在一起, 以便于将来的电源分隔。3. IC去耦电容的布局要尽量靠近IC的电源管脚,并使之与电源和地之间形成的回路最短。4.

14、 尽可能缩短高频元器件之间的连线,设法减少它们的分布参数和相互间的电磁干扰。易受干扰的元器件不能相互挨得太近,输入和输出元件应尽量远离。5. 某些元器件或导线之间可能有较高的电位差,应加大它们之间的距离,以免放电引出意外短路。带高电压的元器件应尽量布置在调试时手不易触及的地方。6. 重量超过15g的元器件、应当用支架加以固定,然后焊接。那些又大又重、发热量多的元器件,不宜装在印制板上,而应装在整机的机箱底板上,且应考虑散热问题。热敏元件应远离发热元件。7. 对于电位器、可调电感线圈、可变电容器、微动开关等可调元件的布局应考虑整机的结构要求。假设是机内调节,应放在印制板上方便于调节的地方;假设是

15、机外调节,其位置要与调节旋钮在机箱面板上的位置相适应8. 发热元件要一般应均匀分布,以利于单板和整机的散热,除温度检测元件以外的温度敏感器件应远离发热量大的元器件。9. 输入输出端用的导线应尽量防止相邻平行。最好加线间地线,以免发生反响藕合。10. BGA与相邻元件的距离>5mm。其它贴片元件相互间的距离>0.7mm;贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm;有压接件的PCB,压接的接插件周围5mm内不能有插装元、器件,在焊接面其周围5mm内也不能有贴装元、器件。11. 需用波峰焊工艺生产的单板,其紧固件安装孔和定位孔都应为非金属化孔。当安装孔需要接地时, 应采用分布接

16、地小孔的方式与地平面连接。12. 焊接面的贴装元件采用波峰焊接生产工艺时,阻、容件轴向要与波峰焊传送方向垂直, 阻排及SOPPIN间距大于等于1.27mm元器件轴向与传送方向平行;PIN间距小于1.27mm50mil)的IC、SOJ、PLCC、QFP等有源元件防止用波峰焊焊接。13. 用于阻抗匹配目的阻容器件的布局,要根据其属性合理布置。串联匹配电阻的布局要靠近该信号的驱动端,距离一般不超过500mil。匹配电阻、电容的布局一定要分清信号的源端与终端,对于多负载的终端匹配一定要在信号的最远端匹配。3.焊盘焊盘中心孔要比器件引线直径稍大一些。焊盘太大易形成虚焊。焊盘外径D一般不小于(d+1.2)

17、mm,其中d为引线孔径。对高密度的数字电路,焊盘最小直径可取(d+1.0)mm。4.印刷电路板中的过孔设计为了减小过孔的寄生效应带来的不利影响,在设计中可以尽量做到: 1从本钱和信号质量两方面考虑,选择合理尺寸的过孔大小。比方对6-10层的内存模块PCB设计来说,选用10/20mil钻孔/焊盘的过孔较好,对于一些高密度的小尺寸的板子,也可以尝试使用8/18mil的过孔。目前技术条件下,很难使用更小尺寸的过孔了。对于电源或地线的过孔那么可以考虑使用较大尺寸,以减小阻抗。2上面讨论的两个公式可以得出,使用较薄的PCB板有利于减小过孔的两种寄生参数。3PCB板上的信号走线尽量不换层,也就是说尽量不要

18、使用不必要的过孔。4电源和地的管脚要就近打过孔,过孔和管脚之间的引线越短越好,因为它们会导致电感的增加。同时电源和地的引线要尽可能粗,以减少阻抗5在信号换层的过孔附近放置一些接地的过孔,以便为信号提供最近的回路。甚至可以在PCB板上大量放置一些多余的接地过孔。当然,在设计时还需要灵活多变。前面讨论的过孔模型是每层均有焊盘的情况,也有的时候,我们可以将某些层的焊盘减小甚至去掉。特别是在过孔密度非常大的情况下,可能会导致在铺铜层形成一个隔断回路的断槽,解决这样的问题除了移动过孔的位置,我们还可以考虑将过孔在该铺铜层的焊盘尺寸减小。附录 焊盘、线、过孔的间距要求 PAD and VIA: 0.3mm

19、12milPAD and PAD: 0.3mm12milPAD and TRACK: 0.3mm12milTRACK and TRACK: 0.3mm12mil密度较高时:PAD and VIA: 0.254mm10milPAD and PAD: 0.254mm10milPAD and TRACK: 0.254mm10milTRACK and TRACK: 0.254mm10mil二PCB布线技巧布线是整个PCB设计中最重要的工序。这将直接影响着PCB板的性能好坏。在PCB的设计过程中,布线一般有这么三种境界的划分:首先是布通,这时PCB设计时的最根本的要求。如果线路都没布通,搞得到处是飞线,

20、那将是一块不合格的板子,可以说还没入门。其次是电器性能的满足。这是衡量一块印刷电路板是否合格的标准。这是在布通之后,认真调整布线,使其能到达最正确的电器性能。接着是美观。假设你的布线布通了,也没有什么影响电器性能的地方,但是一眼看过去杂乱无章的,加上五彩缤纷、花花绿绿的,那就算你的电器性能怎么好,在别人眼里还是垃圾一块。这样给测试和维修带来极大的不便。布线要整齐划一,不能纵横交错毫无章法。这些都要在保证电器性能和满足其他个别要求的情况下实现,否那么就是舍本逐末了。布线时主要按以下原那么进行:一般情况下,首先应对电源线和地线进行布线,以保证电路板的电气性能。在条件允许的范围内,尽量加宽电源、地线

21、宽度,最好是地线比电源线宽,它们的关系是:地线电源线信号线,通常信号线宽为:0.20.3mm,最细宽度可达0.050.07mm,电源线一般为1.22.5mm。对数字电路的 PCB可用宽的地导线组成一个回路, 即构成一个地网来使用模拟电路的地那么不能这样使用。引脚的钻孔直径=引脚直径+1030mil引脚的焊盘直径=钻孔直径+18mil 预先对要求比拟严格的线如高频线进行布线,输入端与输出端的边线应防止相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 振荡器外壳接地,时钟线要尽量短,且不能引得到处都是。时钟振荡电路下面、特殊高速逻辑电路局部要加大地的

22、面积,而不应该走其它信号线,以使周围电场趋近于零; 尽可能采用45º的折线布线,不可使用90º折线,以减小高频信号的辐射;要求高的线还要用双弧线 任何信号线都不要形成环路,如不可防止,环路应尽量小;信号线的过孔要尽量少; 关键的线尽量短而粗,并在两边加上保护地。 通过扁平电缆传送敏感信号和噪声场带信号时,要用“地线-信号-地线的方式引出。 关键信号应预留测试点,以方便生产和维修检测用原理图布线完成后,应对布线进行优化;同时,经初步网络检查和DRC检查无误后,对未布线区域进行地线填充,用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源

23、,地线各占用一层。有些问题虽然发生在后期制作中,但却是PCB设计中带来的,它们是:过线孔太多,沉铜工艺稍有不慎就会埋下隐患。所以,设计中应尽量减少过线孔。同向并行的线条密度太大,焊接时很容易连成一片。所以,线密度应视焊接工艺的水平来确定。焊点的距离太小,不利于人工焊接,只能以降低工效来解决焊接质量。否那么将留下隐患。所以,焊点的最小距离确实定应综合考虑焊接人员的素质和工效。焊盘或过线孔尺寸太小,或焊盘尺寸与钻孔尺寸配合不当。前者对人工钻孔不利,后者对数控钻孔不利。容易将焊盘钻成“c形,重那么钻掉焊盘。导线太细,而大面积的未布线区又没有设置敷铜,容易造成腐蚀不均匀。即当未布线区腐蚀完后,细导线很

24、有可能腐蚀过头,或似断非断,或完全断。所以,设置敷铜的作用不仅仅是增大地线面积和抗干扰。 以上诸多因素都会对电路板的质量和将来产品的可靠性大打折扣。附:专家关于高速线路的布线问题解答1。问:在实际布线中,很多理论是相互冲突的; 1。处理多个模/数地的接法:理论上是应该相互隔离的,但在实际的小型化、高密度布线中,由于空间的局限或者绝对的隔离会导致小信号模拟地走线过长,很难实现理论的接法。我的做法是:将模/数功能模块的地分割成一个完整的孤岛,该功能模块的模/数地都连接在这一个孤岛上。再通过沟道让孤岛和“大地连接。不知这种做法是否正确? 2。理论上晶振与CPU的连线应该尽量短,由于结构布局的原因,晶

25、振与CPU的连线比拟长、比拟细,因此受到了干扰,工作不稳定,这时如何从布线解决这个问题?诸如此类的问题还有很多,尤其是高速PCB布线中考虑EMC、EMI问题,有很多冲突,很是头痛,请问如何解决这些冲突? 答:1. 根本上, 将模/数地分割隔离是对的。 要注意的是信号走线尽量不要跨过有分割的 地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。 2. 晶振是模拟的正反响振荡电路, 要有稳定的振荡信号, 必须满足loop gain与phase的标准, 而这模拟信号的振荡标准很容易受到干扰, 即使加ground guard traces可能也

26、无法完全隔离干扰。 而且离的太远, 地平面上的噪声也会影响正反响振荡电路。 所以, 一定要将晶振和芯片的距离进可能靠近。 3. 确实高速布线与EMI的要求有很多冲突。 但根本原那么是因EMI所加的电阻电容或ferrite bead, 不能造成信号的一些电气特性不符合标准。 所以, 最好先用安排走线和PCB叠层的技巧来解决或减少EMI的问题, 如高速信号走内层。 最后才用电阻电容或ferrite bead的方式, 以降低对信号的伤害。 2。在高速设计中,如何解决信号的完整性问题?差分布线方式是如何实现的?对于只有一个输出端的时钟信号线,如何实现差分布线? 答:信号完整性根本上是阻抗匹配的问题。而

27、影响阻抗匹配的因素有信号源的架构和输出阻抗(output impedance),走线的特性阻抗,负载端的特性,走线的拓朴(topology)架构等。解决的方式是靠端接(termination)与调整走线的拓朴。 差分对的布线有两点要注意,一是两条线的长度要尽量一样长,另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层(side-by-side),一为两条线走在上下相邻两层(over-under)。一般以前者side-by-side实现的方式较多。 要用差分布线一定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出端的时钟

28、信号是无法使用差分布线的。3。 关于高速差分信号布线 问:在pcb上靠近平行走高速差分信号线对的时候,在阻抗匹配的情况下,由于两线的相互耦合,会带来很多好处。但是有观点认为这样会增大信号的衰减,影响传输距离。是不是这样,为什么?我在一些大公司的评估板上看到高速布线有的尽量靠近且平行,而有的却有意的使两线距离忽远忽近,我不懂那一种效果更好。我的信号1GHz以上,阻抗为50欧姆。在用软件计算时,差分线对也是以50欧姆来计算吗?还是以100欧姆来算?接收端差分线对之间可否加一匹配电阻? 答:会使高频信号能量衰减的原因一是导体本身的电阻特性(conductor loss), 包括集肤效应(skin e

29、ffect), 另一是介电物质的dielectric loss。 这两种因子在电磁理论分析传输线效应(transmission line effect)时, 可看出他们对信号衰减的影响程度。 差分线的耦合是会影响各自的特性阻抗, 变的较小, 根据分压原理(voltage divider)这会使信号源送到线上的电压小一点。 至于, 因耦合而使信号衰减的理论分析我并没有看过, 所以我无法评论。 对差分对的布线方式应该要适当的靠近且平行。 所谓适当的靠近是因为这间距会影响到差分阻抗(differential impedance)的值, 此值是设计差分对的重要参数。 需要平行也是因为要保持差分阻抗的一

30、致性。 假设两线忽远忽近, 差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timing delay)。 差分阻抗的计算是 2(Z11 - Z12), 其中, Z11是走线本身的特性阻抗, Z12是两条差分线间因为耦合而产生的阻抗, 与线距有关。 所以, 要设计差分阻抗为100欧姆时, 走线本身的特性阻抗一定要稍大于50欧姆。 至于要大多少, 可用仿真软件算出来。 4。问:要提高抗干扰性,除了模拟地和数字地分开只在电源一点连接,加粗地线和电源线外,希望专家给一些好的意见和建议! 答:除了地要分开隔离外, 也要注意模拟电路局部的电源, 如果跟数字电路共享电

31、源, 最好要加滤波线路。 另外, 数字信号和模拟信号不要有交错, 不要跨过分割地的地方(moat)。5。 关于高速PCB设计中信号层空白区域敷铜接地问题 问:在高速PCB设计中,信号层的空白区域可以敷铜,那么多个信号层的敷铜是都接地好呢, 还是一半接地,一半接电源好呢? 答:般在空白区域的敷铜绝大局部情况是接地。 只是在高速信号线旁敷铜时要注意敷铜与信号线的距离, 因为所敷的铜会降低一点走线的特性阻抗。 也要注意不要影响到它层的特 性阻抗, 例如在dual stripline的结构时。 6。 高速信号线的匹配问题 问:在高速板(如p4的主板)layout,为什么要求高速信号线(如cpu数据,地

32、址信号线要匹配? 如果不匹配会带来什么隐患?其匹配的长度范围既信号线的时滞差是由什么因素决定的,怎样计算? 答: 要求走线特性阻抗匹配的主要原因是要防止高速传输线效应(transmission line effect)所引起的反射(reflection)影响到信号完整性(signal integrity)和延迟时间(flight time)。也就是说如果不匹配,那么信号会被反射影响其质量。 所有走线的长度范围都是根据时序(timing)的要求所订出来的。影响信号延迟时间的因素很多,走线长度只是其一。P4要求某些信号线长度要在某个范围就是根据该信号所用的传输模式(common clock或sou

33、rce synchronous)下算得的timing margin,分配一局部给走线长度的允许误差。7。问: 在高密度印制板上通过软件自动产生测试点一般情况下能满足大批量生产的测试要求吗?添加测试点会不会影响高速信号的质量? 答:一般软件自动产生测试点是否满足测试需求必须看对加测试点的标准是否符合测试机具的要求。另外,如果走线太密且加测试点的标准比拟严,那么有可能没方法自动对每段线都加上测试点,当然,需要手动补齐所要测试的地方。 至于会不会影响信号质量就要看加测试点的方式和信号到底多快而定。根本上外加的测试点(不用线上既有的穿孔(via or DIP pin)当测试点)可能加在线上或是从线上拉

34、一小段线出来。前者相当于是加上一个很小的电容在线上,后者那么是多了一段分支。这两个情况都会对高速信号多多少少会有点影响,影响的程度就跟信号的频率速度和信号缘变化率(edge rate)有关。影响大小可透过仿真得知。原那么上测试点越小越好(当然还要满足测试机具的要求)分支越短越好。8。如何选择PCB板材?如何防止高速数据传输对周围模拟小信号的高频干扰,有没有一些设计的根本思路? 答:选择PCB板材必须在满足设计需求和可量产性及本钱中间取得平衡点。设计需求包含电气和机构这两局部。通常在设计非常高速的PCB板子(大于GHz的频率)时这材质问题会比拟重要。例如,现在常用的FR-4材质,在几个GHz的频

35、率时的介质损耗dielectric loss会对信号衰减有很大的影响,可能就不合用。就电气而言,要注意介电常数(dielectric constant)和介质损在所设计的频率是否合用。 防止高频干扰的根本思路是尽量降低高频信号电磁场的干扰,也就是所谓的串扰(Crosstalk)。可用拉大高速信号和模拟信号之间的距离,或加ground guard/shunt traces在模拟信号旁边。还要注意数字地对模拟地的噪声干扰。 9。一个系统往往分成假设干个PCB,有电源、接口、主板等,各板之间的地线往往各有互连,导致形成许许多多的环路,产生诸如低频环路噪声,不知这个问题如何解决? 答:各个PCB板子相

36、互连接之间的信号或电源在动作时,例如A板子有电源或信号送到B板子,一定会有等量的电流从地层流回到A板子 (此为Kirchoff current law)。这地层上的电流会找阻抗最小的地方流回去。所以,在各个不管是电源或信号相互连接的接口处,分配给地层的管脚数不能太少,以降低阻抗,这样可以降低地层上的噪声。另外,也可以分析整个电流环路,尤其是电流较大的局部,调整地层或地线的接法,来控制电流的走法(例如,在某处制造低阻抗,让大局部的电流从这个地方走),降低对其它较敏感信号的影响。10。1能否提供一些经验数据、公式和方法来估算布线的阻抗。2当无法满足阻抗匹配的要求时,是在信号线的末端加并联的匹配电阻

37、好,还是在信号线上加串联的匹配电阻好。3差分信号线中间可否加地线 答:1.以下提供两个常被参考的特性阻抗公式: a.微带线(microstrip) Z=87/sqrt(Er+1.41)ln5.98H/(0.8W+T) 其中,W为线宽,T为走线的铜皮厚度,H为走线到参考平面的距离,Er是PCB板材质的介电常数(dielectric constant)。此公式必须在0.1<(W/H)<2.0及1<(Er)<15的情况才能应用。 b.带状线(stripline) Z=60/sqrt(Er)ln4H/0.67(T+0.8W) 其中,H为两参考平面的距离,并且走线位于两参考平面的

38、中间。此公式必须在W/H<0.35及T/H<0.25的情况才能应用。 最好还是用仿真软件来计算比拟准确。 2.选择端接(termination)的方法有几项因素要考虑: a.信号源(source driver)的架构和强度。 b.功率消耗(power consumption)的大小。 c.对时间延迟的影响,这是最重要考虑的一点。 所以,很难说哪一种端接方式是比拟好的。 3.差分信号中间一般是不能加地线。因为差分信号的应用原理最重要的一点便是利用差分信号间相互耦合(coupling)所带来的好处,如flux cancellation,抗噪声(noise immunity)能力等。假设

39、在中间加地线,便会破坏耦合效应。 11. 请问适中选择PCB与外壳接地的点的原那么是什么?另外,一般PCB LAYOUT工程师总是根据DESIGN GUIDE/LAYOUT GUIDELINE做,我想了解一般制定GUIDE的是硬件/系统工程师,还是资深PCB工程师?谁应该对板级系统的性能负主要责任。答:与外壳接地点选择的原那么是利用chassis ground提供低阻抗的路径给回流电流(returning current)及控制此回流电流的路径。例如,通常在高频器件或时钟产生器附近可以借固定用的螺丝将PCB的地层与chassis ground做连接,以尽量缩小整个电流回路面积,也就减少电磁辐射

40、。 谁应该负责制定guideline可能每个公司有不同的情况而有不同安排。Guideline的制定必须对整个系统、芯片、电路动作原理有充分的了解,才能制定出符合电气标准且可实现的guideline。所以,以我个人的观点,硬件系统工程师似乎较适合这个角色。当然,资深PCB工程师可以提供在实际实现时的经验,使得这guideline可以实现的更好。 12问:请问板子设计好,生产出来,DEBUG应从那几个方面着手。 答:就数字电路而言,首先依序确定三件事情: 1.确认所有电源值的大小均到达设计所需。有些多重电源的系统可能会要求某些电源之间起来的顺序与快慢有某种标准。 2.确认所有时钟信号频率都工作正常

41、且信号边缘上没有非单调(non-monotonic)的问题。 3.确认reset信号是否到达标准要求。 这些都正常的话,芯片应该要发出第一个周期(cycle)的信号。接下来依照系统运作原理与bus protocol来debug。 13问: 现在有哪些PCB设计软件,如何用PROTEL99合理的设计符合自己要求的PCB.比方如何满足高频电路的要求,如何考虑电路满足抗干扰的要求? 答:高频数字电路主要是考虑传输线效应对信号质量与时序(timing)的影响。如特性阻抗的连续与匹配,端接方式的选择,拓朴(topology)方式的选择,走线的长度与间距,时钟(或strobe)信号skew的控制等。 如果

42、器件已经固定,一般抗干扰的方式是拉大间距或加ground guard traces14问: 对于lvds低压差分信号,原那么上是布线等长、平行,但实际上较难实现,是否能提供一些经验? 答:1.平行的目的是要确保差分阻抗的完整性。平行间距不同的地方就是差分阻抗不连续。 2.等长的目的是想要确保时序(timing)的准确与对称性。因为差分信号的时序跟这两个信号交叉点(或相对电压差值)有关,如果不等长,那么此交叉点不会出现在信号振幅(swing amplitude)的中间,也会造成相邻两个时间间隔(time interval)不对称,增加时序控制的难度。 3.不等长也会增加共模(common mod

43、e)信号的成分,影响信号完整性(signal integrity)。 15: 问:在电路板尺寸固定的情况下,如果设计中需要容纳更多的功能,就往往需要提高PCB的走线密度,但是这样有可能导致走线的相互干扰增强,同时走线过细也使阻抗无法降低,请专家介绍在高速>100MHz高密度PCB设计中的技巧? 答:在设计高速高密度PCB时,串扰(crosstalk interference)确实是要特别注意的,因为它对时序(timing)与信号完整性(signal integrity)有很大的影响。以下提供几个注意的地方: 1.控制走线特性阻抗的连续与匹配。 2.走线间距的大小。一般常看到的间距为两倍线

44、宽。可以透过仿真来知道走线间距对时序及信号完整性的影响,找出可容忍的最小间距。不同芯片信号的结果可能不同。 3.选择适当的端接方式。 4.防止上下相邻两层的走线方向相同,甚至有走线正好上下重迭在一起,因为这种串扰比同层相邻走线的情形还大。 5.利用盲埋孔(blind/buried via)来增加走线面积。但是PCB板的制作本钱会增加。 在实际执行时确实很难到达完全平行与等长,不过还是要尽量做到。除此以外,可以预留差分端接和共模端接,以缓和对时序与信号完整性的影响。16问:模拟电源处的滤波经常是用LC电路。但是,我发现有时LC比RC滤波效果差,为什么,滤波时选用电感,电容值的方法是什么? 答;

45、LC与RC滤波效果的比拟必须考虑所要滤掉的频带与电感值的选择是否恰当。 因为电感的感抗(reactance)大小与电感值和频率有关。如果电源的噪声频率较低,而电感值又不够大,这时滤波效果可能不如RC。但是,使用RC滤波要付出的代价是电阻本身会耗能,效率较差,且要注意所选电阻能承受的功率。 电感值的选用除了考虑所想滤掉的噪声频率外,还要考虑瞬时电流的反响能力。如果LC的输出端有可能需要瞬间输出大电流,那么电感值太大会阻碍此大电流流经此电感的速度,增加纹波噪声(ripple noise)。 电容值那么和所能容忍的纹波噪声标准值的大小有关。纹波噪声值要求越小,电容值会较大。而电容的ESR/ESL也会

46、有影响。 另外,如果这LC是放在开关式电源(switching regulation power)的输出端时,还要注意此LC所产生的极点零点(pole/zero)对负反响控制(negative feedback control)回路稳定度的影响。 17.问:当一块PCB板中有多个数/模功能块时,常规做法是要将数/模地分开,并分别在一点相连。这样,一块PCB板上的地将被分割成多块,而且如何相互连接也大成问题。但有人采用另外一种方法,即在确保数/模分开布局,且数/模信号走线相互不交叉的情况下,整个PCB板地不做分割,数/模地都连到这个地平面上,这样做有何道理答 将数/模地分开的原因是因为数字电路在

47、上下电位切换时会在电源和地产生噪声,噪声的大小跟信号的速度及电流大小有关。如果地平面上不分割且由数字区域电路所产生的噪声较大而模拟区域的电路又非常接近,那么即使数模信号不交叉, 模拟的信号依然会被地噪声干扰。也就是说数模地不分割的方式只能在模拟电路区域距产生大噪声的数字电路区域较远时使用。另外,数模信号走线不能交叉的要求是因为速度稍快的数字信号其返回电流路径(return current path)会尽量沿着走线的下方附近的地流回数字信号的源头,假设数模信号走线交叉,那么返回电流所产生的噪声便会出现在模拟电路区域内 18问:线路板设计如果考虑EMC,必定提高不少本钱。请问如何尽可能的答道EMC

48、要求,又不致带太大的本钱压力?谢谢。 答:PCB板上会因EMC而增加的本钱通常是因增加地层数目以增强屏蔽效应及增加了ferrite bead、choke等抑制高频谐波器件的缘故。除此之外,通常还是需搭配其它机构上的屏蔽结构才能使整个系统通过EMC的要求。以下仅就PCB板的设计技巧提供几个降低电路产生的电磁辐射效应。 1、尽可能选用信号斜率(slew rate)较慢的器件,以降低信号所产生的高频成分。 2、注意高频器件摆放的位置,不要太靠近对外的连接器。 3、注意高速信号的阻抗匹配,走线层及其回流电流路径(return current path), 以减少高频的反射与辐射。 4、在各器件的电源管

49、脚放置足够与适当的去耦合电容以缓和电源层和地层上的噪声。特别注意电容的频率响应与温度的特性是否符合设计所需。 5、对外的连接器附近的地可与地层做适当分割,并将连接器的地就近接到chassis ground。 6、可适当运用ground guard/shunt traces在一些特别高速的信号旁。但要注意guard/shunt traces对走线特性阻抗的影响。 7、电源层比地层内缩20H,H为电源层与地层之间的距离。 19。问 : 请问专家GSM PCB设计有什么要求和技巧? 答: PCB设计上的挑战在于两个地方:一是板面积小,二是有RF的电路。因为可用的板面积有限,而又有数个不同特性的电路区

50、域,如RF电路、电源电路、 话音模拟电路、一般的数字电路等,它们都各有不同的设计需求。 1、首先必须将RF与非RF的电路在板子上做适当的区隔。因为RF的电源、地、及阻抗设计标准较严格。 2、因为板面积小,可能需要用盲埋孔(blind/buried via)以增加走线面积。 3、注意话音模拟电路的走线,不要被其它数字电路,RF电路等产生串扰现象。 除了拉大走线间距外,也可使用ground guard trace抑制串扰。 4、适当做地层的分割, 尤其模拟电路的地要特别注意,不要被其它电路的地噪声干扰。 5、注意各电路区域信号的回流电流路径(return current path), 防止增加串扰

51、的可能性。 20:答PCB设计时所要注意的问题随着应用产品的不同而不同。就象数字电路与仿真电路要注意的地方不尽相同那样。以下仅概略的几个要注意的原那么。 1、PCB层叠的决定;包括电源层、地层、走线层的安排,各走线层的走线方向等。这些都会影响信号品质,甚至电磁辐射问题。 2、电源和地相关的走线与过孔(via)要尽量宽,尽量大。 3、不同特性电路的区域配置。良好的区域配置对走线的难易,信号质量都有相当大的关系。 4、要配合生产工厂的制造工艺来设定DRC (Design Rule Check)及与测试相关的设计(如测试点)。其它与电气相关所要注意的问题就与电路特性有绝对的关系,例如,即便都是数字电

52、路,是否注意走线的特性阻抗就要视该电路的速度与走线长短而定。 21有关高速PCB设计中的EMC、EMI问题 问:在高速PCB设计时我们使用的软件都只不过是对设置好的EMC、EMI规那么进行检查,而设计者应该从那些方面去考虑EMC、EMI的规那么呢怎样设置规那么呢我使用的是CADENCE公司的软件。 答:一般EMI/EMC设计时需要同时考虑辐射(radiated)与传导(conducted)两个方面. 前者归属于频率较高的局部(>30MHz)后者那么是较低频的局部(<30MHz). 所以不能只注意高频而忽略低频的局部. 一个好的EMI/EMC设计必须一开始布局时就要考虑到器件的位置, PCB迭层的安排, 重要联机的走法, 器件的选择等, 如果这些没有事前有较佳的安排, 事后解决那么会事倍功半, 增加本钱. 例如时钟产生器的位置尽量不要靠近对外的连接器, 高速信号尽量走内层并注意特性阻抗匹配与参考层的连续以减少反射, 器件所推的信号之斜率(slew rate)尽量小以减低高频成分, 选择去耦合(decoupling/bypass)电容时注意其频率响应是否符合需求以降低电源层噪声. 另外, 注意高频信号电流之回流路径使其回路面积尽量小(也就是回路阻抗loop impedance尽量小)以减少辐射. 还可以用分割地层的方式以控制高

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论