可编程逻辑器件及应用课程教学大纲_第1页
可编程逻辑器件及应用课程教学大纲_第2页
可编程逻辑器件及应用课程教学大纲_第3页
可编程逻辑器件及应用课程教学大纲_第4页
可编程逻辑器件及应用课程教学大纲_第5页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、文档来源为 :从网络收集整理.word 版本可编辑.欢迎下载支持可编程逻辑器件及应用理论教学大纲(2003年制订,2004年修订)课程编号:210162英文名:ProgrammableLogicDeviceandApplications课程类别:专业选修课前置课:数字逻辑与逻辑设计、微机原理与接口技术后置课:学分:4学分课时:36课时(其中理论26课时,实验10课时)主讲教师:李耀等指定教材:潘松,黄继业.EDA技术实用教程.北京:科学出版社,2002.课程概述:本课程是面向计算机专业的一门专业选修课。可编程逻辑器件是近几年来出现的一种新型的,用于集成电路设计的专用器件。被广泛地应用在航天、通

2、信、医疗、工控等各个领域,特别适合于产品的样品开发与小批量生产。CPLDFPGA是目前应用最为广泛的两种可编程专用集成电路。本课程从现代电子系统设计的角度出发,系统地介绍了CPLD和FPGA逻辑器件的特征、特性及使用,并对这两种逻辑器件的产生、发展、现状及特点进行了简单介绍。同时,本书还对这两种可编程器件的软件工作语言进行了说明,着重介绍了VHDL硬件描述语言。此外还介绍了一种逻辑器件的仿真和分析软件MAX+Plusn。可编程逻辑器件及应用是一门实践性很强的课程。该课程是计算机专业的一门专业基础课程,该课程以提高学生实际工程设计能力为目的。通过本课程的学习并完成相关实验,使学生初步了解和掌握E

3、DA的基本内容及实用技术,掌握现代电子系统设计的有关知识和技能,为今后的学习和工作打下基础。教学目的:1 .了解EDA的基本概念、作用、应用范围等相关基础知识。2 .了解EDA系统的开发方法。3 .熟练掌握MAX+PlusII软件的使用方法,包括:环境设置、程序设计、仿真、下载等。4 .熟练掌握硬件描述语言VHDL的编程方法。5 .能够初步进行简单EDA系统的设计与实现。6 .了解当前EDA技术的发展状况;熟悉常见的EDA芯片;掌握EDA芯片选型方法。教学方法:可编程逻辑器件及应用是一门实践性极强的课程。本课程将采用课堂理论课教学与实验课教学相结合的教学方式,适当加大实验课程的比例,注重学生对

4、知识应用能力的培养。理论课程尽量避免空洞的理论堆砌,将结合实例进行讲解,提高学生的兴趣;同时注重与计算机其他相关专业课的结合。考核方式采用设计性考核,成绩以设计质量进行评定。各章教学要求及教学要点第一章概述课时分配:2课时教学要求:通过本章学习,学生应了解EDA技术的发展概况、实现目标及发展趋势;了解EDA技术的分类,以及常见的芯片;初步了解VHDL硬件描述语言、VHDL综合及VHDL自顶向下的设计方法。通过本章学习,学生应建立EDA的初步概念。教学内容:第一节EDA技术概述1、 EDA技术概念。2、 EDA技术发展概况、实现目标及发展趋势。3、 EDA技术分类,常用的EDA芯片介绍。4、 E

5、DA技术应用概况。第二节硬件描述语言概述1、 VHDL语言的产生与发展状况。2、 VHDL语言的概念和定义。第三节VHDL综合器一、简单介绍VHDL综合器的概念。3、 VHDL综合器与程序编译器的区别。第四节VHDL设计方法概述一、基于VHDL自顶向下的设计方法介绍。二、自顶向下的设计方法性能分析以及流程。第五节EDA与传统电子设计方案的比较一、传统电子设计方法。4、 EDA技术设计方法。三、两种方法比较,有缺点分析。四、实例说明。思考题:1 .思考EDA技术的核心是什么?2 .与软件描述语言相比,硬件描述语言VHDL有什么特点?3 .什么是综合?有那些类型?综合在电子自动化设计中的地位是什么

6、?4 .在EDA技术中,自顶向下的设计方法有什么重要意义?第二章EDA设计流程及其工具课时分配:2课时教学要求:通过本章学习,学生应了解FPGA/CPLD设计流程;认识和了解常用的EDA工具;初步掌握MAX+plusII软件的使用方法。通过本章学习,学生应能够了解EDA的设计方法。教学内容:第一节FPGA/CPLD设计流程1、 FPGA/CPLD设计流程概述。2、 FPGA/CPLD的设计流程:原理图的输入、综合、适配、时序仿真、编程下载、硬件测试。三、实例说明。第二节常用的EDA开发工具一、EDA的常用工具介绍:设计输入编译器、HDL综合器、适配器。二、实例说明。第三节EDA开发软件介绍1、

7、 MAX+PlusII介绍。2、 MAX+PlusII开发方法。3、 QuartusII介绍。4、 QuartusII开发方法。五、其他开发软件介绍。第四节IP核一、介绍IP核的概念,功能及分类。二、IP核的发展状况。思考题:1 .思考FPGA/CPLD的设计流程?2 .简述在MAX+PlusII中的设计方法。3.1 P是什么?IP与EDA技术的关系是什么?第三章FPGA/CPLD结构与应用课时分配:2课时教学要求:通过本章学习,学生应了解FPGA/CPLD产品,FPGA/CPLD的结构与工作原理,FPGA/CPLD的编程与配置等;能够指出FPGA和CPLD的异同;了解常见的几种EDA产品;并

8、能够了解EDA技术发展状况。教学内容:第一节概述一、可编程逻辑器件的发展历程。二、可编程逻辑器件的分类方法。第二节CPLD结构与工作原理1、 CPLD内部结构组成。2、 CPLD的工作原理。3、 CPLD的特点分析。第三节FPGA结构与工作原理1、 FPGA内部结构组成。2、 FPGA的工作原理。3、 FPGA的特点分析。4、 FLEX10K系列器件介绍。5、 CPLD、FPGA异同点分析。第四节FPGA/CPLD产品概述1、 Latitce公司CPLD器件系列。2、 Xilinx公司的FPGA和CPLD器件系列。3、 Altera公司的FPGA和CPLD器件系列。四、其他公司的FPGA/CP

9、LD产品。5、 FPGA/CPLD产品选型方法。思考题:1. 什么是基于乘积项的可编程逻辑结构?2. 什么是基于查表项的可编程逻辑结构?3. FLEX10K系列器件中EAB有何作用?第四章原理图输入设计方法课时分配:4课时教学要求:通过本章学习,学生应掌握原理图输入设计方法,学会CPLD环境开发软件MAX+PlusII的使用;通过实例,学生应能理解和掌握MAX+PlusII的环境设置方法,初步掌握EDA的设计方法。教学内容:第一节一位全加器设计实例一、介绍一位全加器设计的基本步骤。二、总结原理图的设计输入、综合、适配、波形仿真、编程下载的方法。三、介绍MAX+PlusII的环境设置。第二节二位

10、十进制数字频率计设计实例一、二位十进制数字频率计设计基本步骤。二、总结用原理图输入法设计较复杂的逻辑电路的方法。三、实例介绍。第三节参数可设置LMP兆功能块一、功能块的概念和功能作用介绍。二、介绍参数可设置LMP兆功能块在原理图输入法中的应用。三、实例介绍。第四节设计方法一、介绍用波形输入的方法设计组合电路、简单时序电路和状态机的方法。二、总结设计的一般方法。三、实例说明。思考题:1 .思考用原理图输入的设计方法设计具体电路的详细流程。2 .功能仿真和时序仿真有何区别?3 .如何进行多层次电路系统设计?第五章VHDL设计初步课时分配:4课时教学要求:通过本章学习,学生应初步掌握用硬件描述语言V

11、HDL表达和设计电路的方法,以及VHDL的语言现象和语法规则,掌握用VHDL语言描述简单、常用的逻辑电路。掌握VHDL的软件设计方法。初步具备软件开发能力。教学内容:第一节多路选择器VHDL描述实例分析一、多路选择器VHDL描述介绍。二、总结VHDL语言的语句结构、基本概念和语言现象。三、实例说明。第二节寄存器描述及其VHDL语言现象一、寄存器的概念及其在VHDL中的定义。二、VHDL语言对寄存器进行描述方法。三、实例说明。第三节一位二进制全加器VHDL设计实例一、一位二进制全加器的设计。二、进一步总结VHDL语言的语言现象和语法规则。三、实例说明。第四节VHDL文本输入设计方法初步1、 MA

12、X+PlusII中VHDL环境设置。2、 MAX+plusII开发软件中使用VHDL进行文本输入的设计方法。三、实例说明。四、比较原理图法和VHDL法。第六章VHDL基本语句课时分配:12课时教学要求:通过本章学习,学生应掌握VHDL语言中的两种基本描述语句:顺序语句和并行语句以及两种语句在执行过程中的区别;掌握VHDL的设计方法;能够编写较为复杂的程序。教学内容:第一节顺序语句、介绍顺序语句的特点。二、基本顺序语句的类型。三、顺序语句的执行过程。第二节VHDL并行语句1、 VHDL语言的基本并行语句特点。二、基本并行语句的格式类型。三、基本并行语句的执行过程。第三节属性描述与定义语句2、 V

13、HDL语言中预定义属性语句特点。3、 VHDL语言中预定义属性语句及综合器所支持的语句属性。第四节综合性分析与系统设计一、选择其他课程所验证过的实验电路,分析其实现方法。二、介绍硬件平台的选择、方法选择。三、介绍利用EDA实现方法。四、MAX+PlusII上进行设计仿真的方法。五、综合分析。思考题:1 .进程有哪几种主要类型?2 .不完全组合进程是由什么原因引起的?有什么特点?3 .顺序语句和并行语句各有什么特点。附录:参考书目1 .黄正谨,徐坚.CPLD系统设计技术入门与应用M.北京:清华大学出版社,2002.2 .宋万杰,罗丰,吴顺君.CPLD技术与应用M.西安:西安电子科技大学出版社,2000.3 .徐志军,徐光辉.CPLD/FPGA的开发与应用M.北京:电子工业出版社,2002.4 .蒋璇,藏春华.数字系统设计与PLD应用技术M.北京:电子工业出版社,2001.5 .边计年,薛宏熙译.用VHDL设计电子线路M.北京:清华大学出版社,2000.6 .潘松,王国栋.VHDL实用教程(修订版)M.成都:电子科技大学出

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论