数电课设多功能数字钟_第1页
数电课设多功能数字钟_第2页
数电课设多功能数字钟_第3页
数电课设多功能数字钟_第4页
数电课设多功能数字钟_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、武汉理工大学多功能数字钟课程设计说明书学 号: 0121409340829 课 程 设 计题 目多功能数字钟的设计与实现学 院信息工程学院专 业电子信息工程班 级电信1406班姓 名叶文岚指导教师胡君萍 2016年7月1日课程设计任务书学生姓名: 叶文岚专业班级: 电信1406班指导教师: 胡君萍工作单位:信息工程学院题目:多功能数字钟的设计仿真与制作初始条件:利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成多功能数字钟电路的设计、仿真、装配

2、与调试。2、技术要求: 设计一个数字钟。要求用 4 位数码管显示时间,格式为 00:00。具有 60 进制和 24 进制(或 12 进制)计数功能,秒、分为 60 进制计数,时为 24 进制(或 12 进制)计数。有译码、七段数码显示功能,能显示时、分、秒计时的结果。设计提供连续触发脉冲的脉冲信号发生器,具有校时单元、闹钟单元和整点报时单元。确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少 5 篇近 5 年参考文献。按武汉理工大学课程设计工作规范要求撰写设计报告书。全文用 A4 纸打印,图纸应符合绘图规范。时间安排: 1

3、) 第 1-2 天,查阅相关资料,学习设计原理。2) 第 3-4 天, 方案选择和电路设计仿真。3) 第 4-5 天, 电路调试和设计说明书撰写。4) 第 6 天,上交课程设计成果及报告,同时进行答辩。指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要IAbstractII1 引言12 设计方案及论证23 电路原理及方案43.1 单元电路方案53.1.1振荡器的设计53.1.2时、分、秒计数器的设计6 3.1.2.1秒计数器电路6 3.1.2.2分计数器电路7 3.1.2.3时计数器电路83.1.3译码驱动及显示电路的设计83.1.4校时电路的设计113.1.5整点报时电路的设计12

4、3.1.6闹钟电路的设计124 仿真结果及分析154.1仿真结果154.2仿真结果分析164.2.1时钟脉冲仿真结果164.2.2时钟显示和校时电路仿真164.2.3闹钟电路及报时电路仿真175 实物焊接及调试186 收获及体会197 参考文献20附录1 元件清单21摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了更加广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑和时序逻辑电路。目前,数字式闹钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。数字式闹钟适用于自动打铃、自动

5、广播,也适用于节电、节水及自动控制多路电器设备。它是由数字钟、定时电路、放大执行电路、电源电路组成。具有电路结构简单、动作可靠、使用寿命长、容易更改设定时间、制造成本低等优点。本次设计的多功能数字钟需要实现计数显示、校时、整点报时以及闹钟的功能。从有利于学习数字电路知识的角度考虑,这里主要介绍以中小规模集成电路设计多功能数字钟的方法。 关键词:多功能数字钟;数字电路;中小规模集成电路。Abstract Digital clock is a kind of when using a digital circuit technology, minutes and seconds timer dev

6、ice has higher accuracy compared with the mechanical clock and intuitive, and no mechanical device, has a longer service life. So has been more widely used. Digital clock in principle is a typical digital circuit, including the combinational logic and sequential circuits. At present, the digital ala

7、rm clock function is stronger and stronger, and there are a variety of special selection of large scale integrated circuit. Digital alarm clock is suitable for automatic ringing the bell, automatic radio, can also be applied to electricity saving, water saving and automatic control multi-channel ele

8、ctrical equipment.With simple structure,reliable operation,long service life change the setting time for easy and low manufacturing cost etc.The multi-function digital clock design needs to implement counter display, school hours, the whole point timekeeping and alarm functions. Conducive to learnin

9、g from the viewpoint of a digital circuit knowledge, here we are introduced to small and medium-scale integrated circuit design multifunction digital clock approach. Keywords: multi-function digital clock; digital circuit ; medium-scale integrated circuit I多功能数字钟的设计与实现1 引言 多功能数字钟具有时间显示、闹钟设置、环境温度测量、电

10、网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。数字钟是采用数字电路实现对时,分,秒数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义

11、。因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。数字电子钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。2 设计方案及论证 基于多功能数字钟的设计要求可知;数字钟应具有60进制和24进制的计数功能、译码显示功能、校时功能和闹钟功能,针对以上功能的实现方法,有以下三种实现方案:方案一:采用中小规模集成电路实现采用中小规模集成逻辑电路可以实现数字钟的时分秒计时功能、校时功能、整点报时功能、闹钟功能,计时模块采用时钟信号

12、触发,不需程序控制。所有功能模块的主要部件都使用集成芯片。此方案正是所学的数电知识应用,可以加深对逻辑电路的理解,符合此次设计的要求。秒显示器分显示器时显示器闹钟电路 振荡器秒译码器校时电路时计数器分译码器分计数器秒计数器时译码器整点报时电路图1 方案一原理框图 扩展电路方案二:EDA技术实现采用EDA作为主控器外围电路进行电压、时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展。且设计的大多是未学知识,不能达到此次设计的目的。 时间计数 显示模块 数码管显示 图2 方案二原理框图方案三:单片机编程实现利用AT89S51单片机和74HC573八位锁存器以及利用

13、C语言对AT89S51进行编程实现数字钟的时间显示,单片机的知识尚未学习,而且运用单片机显得数电课设意义不大,不能达到此次设计的目的。MCU控制芯片(AT89451)复位LED显示时间设置图3 方案三原理框图综上,根据自身的知识贮备和方案比较,我决定采取方案一。因为方案一简便灵活,扩展性好,而且正好是所学知识的应用,可以对逻辑器件的使用增加经验,同时符合此次数字电路设计的要求与初衷。秒显示器分显示器时显示器3 电路原理及方案闹钟电路 振荡器秒译码器校时电路时计数器分译码器分计数器秒计数器时译码器整点报时电路图4 电路原理框图 扩展电路数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由

14、于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路。同时必需以标准的1HZ时间信号作为时钟驱动。通常使用石英晶体振荡器电路构成数字钟。图3.1.1所示为数字钟的一般构成框图。1 振荡器电路:振荡器电路给数字钟提供一个频率稳定准确的方波信号,可保证数字钟的走时准确及稳定。 2 计数器电路:计数电路由秒计数器、分计数器、时计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。 3 译码器电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流

15、。4 整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。5 校时电路:由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路。校时电路用以重新接通电源或走时出线误差时都需要对时间进行校正。有时校正和分校正功能,在小时校正时不影响分和秒的政策计数;在分校正时不影响秒和小时的正常计数。3.1 单元电路方案3.1.1振荡器的设计由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。多谐振荡器是一种自激振荡器,在接通电源后,不需要外加触发信号,便能自动产生

16、矩形(脉冲)波。根据555的引脚图以及其功能表,再结合数电课上所学知识,用NE555芯片以及外围电路搭建成一个多谐振荡器,通过设计外围电路的参数输出方波频率为1KHz,故称为方波发生器。脉冲的频率计算公式为:。在此选择R1=100,R2=1000,C1=47uF,C2=0.01uF。下图即为555振荡器:图5 555多谐振荡器电路图2.2.1-2 555振荡器电路 图4.1.1-3 方波发生器3.1.2时、分、秒计数器的设计 一般常用的计数芯片主要有74LS90、74LS161、74LS160等。由于数字钟要求计时电路部分是24进制,计分、计秒电路为60进制,所以可以采取计数器的扩展来实现。首

17、先要选取计数芯片类型,一般采用10进制计数器来实现时间计数单元的计数功能。为减少器件使用数量,可选用74LS90。该器件为双2-5-10异步计数器,并且每一计数器均提供两个个异步清零端(高电平有效)。电路由秒、分、时三部分单元电路构成,全部采用两块74LS90芯片进行级联扩展。利用异步清零法,得到“秒”和“分”六十进制计数器以及“时”二十四进制计数器。芯片由下降沿触发,通过反馈可实现清零状态,实现循环。状态起始时,由R01、R02直接进入清零状态,当R91、R92均置0时,在CP脉冲信号作用下,电路开始进入计数状态。秒和分电路个位为十进制计数器,可09循环,当个位循环一次,取其高位QD连接CP

18、A,在下降沿作用下,实现十进制进位操作。秒和分电路十位为六进制计数器,可05循环。循环一次后利用下一状态的暂态控制R01、R02使电路实现清零操作。时电路为二十四进制计数,利用同样思路实现。将秒和分电路的十位QC端接下一电路的个位CPA端可实现电路之间的进位操作。电路完成一次大循环,数码管显示23:59:59,时电路反馈控制R01、R02使状态回到起始(00:00:00)。3.1.2.1秒计数器电路秒信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了时信号发生器和分信号发生器的精度。“秒”计数器为60进制计数器。实现此100模数的计数器是由两片中规模集成计数器74LS90构成的。首先分别

19、将两片 图6 秒计数器电路74LS90设置成10进制加法计数器。即将两片的74LS90的置数端R0和R9都接地,将CPA端接到QA端,以QD为进位输出端,则构成了十进制加法计数器。接下来,利用74LS90的反馈置数方法实现60进制。74LS90属于异步置数,所以计数器输出“2QD2QC2QB2QA、1QD1QC1QB1QA=0110、0000”时,通过置数脉冲使计数器清零,也就是此时QB,QC发出置数脉冲送至清零端R0,则R0计数器清零。秒计数器电路图如图所示。3.1.2.2分计数器电路图7 分计数器电路分计数器电路也是60进制计数器。同秒计数器一样是由两片中规模集成计数器74LS90构成。将

20、两片74LS90按同秒计数器的方法先接成十进制加法计数器,再按秒计数器电路的方法连接就可实现100进制的计数器。再用同秒计数器的方法实现60进制。其电路图同秒计数器电路图。如下图所示。3.1.2.3时计数器电路 时计数器是24进制计数器。实现此模数的计数器也是由两片中规模集成计数器74LS90构成。同分、秒计数器一样,先将两片计数器74LS90连接成100进制的加法计数器,再把两片计数器74LS90用秒计数器的方法接成可实现24进制的加法计数器。当计数器状态为“2QD2QC2QB2QA、1QD1QC1QB1QA=0010、0100”时,要求计数器归零。通过2QB、1QC送出的置数脉冲使两片计数

21、器74LS90同时清零,这样就构成了24进制计数器。时计数器电路图如图所示。图8 时计数器电路3.1.3译码驱动及显示电路的设计 译码电路的功能是将秒分时计数器的输出代码进行翻译,变成相应的数字。用于驱动LED七段数码管的译码器常用的有74LS46、74LS47、74LS48、74LS49。七段发光二极管是多种显示器中的一种,它可以直接显示出译码器输出的十进制数。七段发光二极管显示器有共阴与共阳两种接法如图3.1.4-1。共阳接法就是把发光二极管的阳极都连在一起接到高电平上,输出低电平有效。与其配套使用的译码器有74LS46、74LS47;共阴接法相反,它是把发光二极管的阴极都连接在一起接地,

22、输入高电平有效。与其配套的译码器有74LS48、74LS49。 去电子市场买元件时发现上述类型的译码器产量较少甚至停产,取之代替的新产品74CD4511,这种译码器比较上述译码器便宜而且与74LS48功能相同,因此可选用这种译码器代替,并配套地使用共阴极数码管。图9 共阴、共阳数码管 译码及驱动显示电路电路由数码显示管和译码器组成。译码器选择CD4511,其中A3A2A1A0 为 BCD 码输入,A0为最低位。LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。YaYg是 7 段输出,可驱动共阴LED数码管。芯片引脚图及功能

23、表如图:图10 CD4511引脚图表1 CD4511功能表输入输出LEBILTA3A2A1A0YaYbYcYdYeYfYg显示XXLXXXXHHHHHHH8XLHXXXXLLLLLLL消隐LHHLLLLHHHHHHL0LHHLLLLLHHLLLL1LHHLLHLHHLHHHH2LHHLLHHHHHHLLH3LHHLHLLLHHLLHH4LHHLHLHHLHHLHH5LHHLHHLLLHHHHH6LHHLHHHHHHLLLH7LHHHLLLHHHHHHH8LHHHLLHHHHLLHL9HLHL消隐LHHLLLLLLL消隐HHHH消隐HHHXXXX锁存 计数器实现了对时间的累计以8421BCD码形

24、式输出,为了将计数器输出端8421BCD码显示出来,需要显示译码电路将计数器的输出数码转换我数码显示器件所需要的输出逻辑和一定的电流,译码电路的功能是将秒分时计数器的输出代码进行翻译,变成相应的数字。图11 译码显示电路3.1.4校时电路的设计通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。为了使电路简单,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。当数字钟走时出现误差时,需要校正时间。校时电路实现

25、对“时”“分”“秒”的校准。在电路中设有正常计时和校对位置。本实验实现“时”“分”的校对。对校时的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。需要注意的时,校时电路是由与非门构成的组合逻辑电路,开关S1或S2为“0”或“1”时,可能会产生抖动,为防止这一情况的发生我们接入一个由RS触发器组成的防抖动电路来控制。表2 校时开关功能表J1J2功能11计数01校分10校时图12 校时电路当数字钟接通电源或者计时出现误差时,需要校正时间。校时是数字钟应具有的基本功能。对校时电路的要求是,在小时校正事不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时电路

26、由开关控制,使计数器对1Hz的校时脉冲计数。如上图所示,当开关向上时,校时电路处于正常计时状态;当开关向下时,脉冲信号直接输入74LS90的CPA端,校时电路处于校时状态。3.1.5整点报时电路的设计根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和Q 、个位的Q和Q及秒计数器十位的Q和Q相与,从而产生报时控制信号。选蜂鸣器为电声器件,蜂鸣器是一种压电电声器件,当其两端加上一个直流电压时酒会发出鸣叫声,两个输入

27、端是极性的,其较长引脚应与高电位相连,图3.1.10的三极管是为了驱动蜂鸣器。图13 整点报时电路图3.1.6闹钟电路的设计闹钟电路采用四个74LS85数据选择芯片串联。数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。比较结果有AB、AB3HLLA3B3LHLA3=B3A2B2HLLA3=B3A2B2LHLA3=B3A2=B2A1B1HLLA3=B3A2=B2A1B1LHLA3=B3A2=B2A1=B1A0B0HLLA3=B3A2=B2A1=B1A0B0LHLA3=B3A2=B2A1=B1A0=B0HLLHLLA3=B3A2=B2A1=B1A0=B0LHLLHLA3=B3A2=B2

28、A1=B1A0=B0HLLHA3=B3A2=B2A1=B1A0=B0HHLLLLA3=B3A2=B2A1=B1A0=B0LLLHHL真值表中的输入变量包括A3与B3、A2与B2、A1与B1、A0与B0和A与B的比较结果。其中A和B是另外两个低位数,IAB、IAB和IA=B是它们的比较结果。设置低位数比较结果输入端是为了能与其他数值比较器连接,以便组成位数更多的数值比较器。本电路将时和分电路的四个输出端从高到低接到85芯片的A0A1A2A3,芯片的B0B1B2B3端接至四位拨码开关。利用拨码开关的四位高低电平输入组成一个十六进制的控制端。四个85芯片采用级联形式,当四个芯片的A=B端同时满足时,

29、最后一个芯片的A=B端输出高电位。电路图如下:图14 闹钟电路134 仿真结果及分析4.1仿真结果总电路仿真如下:图15 总电路仿真该电路实现了时以24进制,分和秒以60进制的准确计时,通过开关J1、J2分别实现时、分的校时,通过4片四位数值比较器完成了闹钟功能,完成了整点报时的功能。4.2仿真结果分析4.2.1时钟脉冲仿真结果用示波器观察555芯片组成的多谐振荡器经千分频后发出的脉冲信号如图:图16 多谐振荡器波形图4.2.2时钟显示和校时电路仿真将时钟脉冲接入时钟电路,对电路进行仿真(在实际仿真过程中选择使用50hz 脉冲减少仿真等待时间)。仿真图如下:图17 时钟正确显示00:00:59

30、图18 时钟正确显示00:59:59图19 时钟正确显示23:59:59 利用校时电路快速观察时电路和分电路的进位情况,仿真显示分电路和秒电路在显示“59”时下一状态跳转至“00”。时电路在显示“23”时下一状态跳转至“00”,成功实现二十四进制和六十进制的进位。 闭合校时电路开关,可以实现分电路和时电路以秒电路的频率计时,校时电路成功。4.2.3闹钟电路及报时电路仿真将闹钟电路的拨码开关调成“00:01”状态,用示波器观察闹钟电路输出波形,波形如下:图20 闹钟仿真波形图利用校时电路将分和时电路与秒电路以同一频率计时以缩短仿真时间,可观察到当显示“00:01”时,电路产生一个一分钟的脉冲(脉

31、冲的高电平为1分钟),闹钟响铃一分钟。 用同样的方法模拟整点报时的情况,利用校时电路加快分电路计时,当分秒电路显示“59:50”,报时电路会产生一个10秒的高电平,用以驱动扬声器电路发生。4 实物焊接及调试 实物焊接完成,外观上来说尚可。但在调试时数码管亮了一下之后就不再亮了。电路图太过繁杂,芯片有28片之多,走线复杂,检查芯片输入输出正常后也没发现出错的地方。图21 实物正面图图22 实物反面焊接图5 收获及体会通过此次课程设计,使我更加扎实的掌握了有关数字电子技术方面的知识,在设计过程中,刚开始毫无头绪,后来经过自己的思考,决定采用化整为零的方法,分模块来完成,再把它们整合起来。有了清晰的思路,逐步完成各个单元电路。然而这一过程同样充满荆棘,许多电路都经过了很多次的修改、优化。当仿真成功的那一刻仿佛所有的付出都得到了

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论