汽车电工电子基础-项目六_第1页
汽车电工电子基础-项目六_第2页
汽车电工电子基础-项目六_第3页
汽车电工电子基础-项目六_第4页
汽车电工电子基础-项目六_第5页
已阅读5页,还剩67页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、汽车电工电子基础项目六 数字电路分析与应用学习目标 1. 1.理解数字电路的概念及其特点,掌握十进制与二进制间理解数字电路的概念及其特点,掌握十进制与二进制间 的相互转换;的相互转换; 2. 2.掌握门电路的符号、逻辑表达式及逻辑功能;掌握门电路的符号、逻辑表达式及逻辑功能; 3. 3.掌握几种基础触发器的符号及逻辑功能;掌握几种基础触发器的符号及逻辑功能; 4. 4.了解计数器与寄存器的工作原理;了解计数器与寄存器的工作原理; 5. 5.掌握掌握555555定时器的工作原理,理解其应用;定时器的工作原理,理解其应用; 6. 6.了解数字电路在汽车中的应用。了解数字电路在汽车中的应用。 任务1

2、 1 数字电路的认识 一、数字电路的认识一、数字电路的认识电子电路包括模拟电路和数字电路。电子电路包括模拟电路和数字电路。1 1、模拟电路、模拟电路 模拟电路是处理模拟信号的电路;模拟电路是处理模拟信号的电路; 模拟信号:随着时间连续变化的信号。模拟信号:随着时间连续变化的信号。模拟信号模拟信号模拟信号模拟信号2 2、数字电路、数字电路 数字电路是处理数字信号的电路;数字电路是处理数字信号的电路; 数字信号:不随着时间连续变化的信号。数字信号:不随着时间连续变化的信号。数字信号数字信号。正逻辑:正逻辑:负逻辑:负逻辑:3 3、数字电路的特点、数字电路的特点基本电路简单,易于实现。基本电路简单,

3、易于实现。 抗干扰能力强,工作可靠。抗干扰能力强,工作可靠。 数字信号便于长期存储。数字信号便于长期存储。 更适合传输和处理。更适合传输和处理。二、数制和码制二、数制和码制1 1、数制、数制(1) (1) 十进制十进制基数:基数:1010数码:数码:0909进位原则:进位原则:逢十进一,即:逢十进一,即:9 91 11010。十进制的展开:十进制的展开:2101210210710610410272.246式中,式中,10 10 2 2 、10 10 1 1 、10100 0 为十进制的权,而小数点以右数码的为十进制的权,而小数点以右数码的权值是权值是1010的负幂。的负幂。 (2) (2) 二

4、进制二进制基数:基数:2 2数码:数码:0101进位原则:进位原则:逢二进一,即:逢二进一,即:1 11 11010。二进制的展开:二进制的展开:01234212120202110011式中,式中,2 23 3、2 2 2 2 、2 2 1 1 、2 20 0 为二进制的权。为二进制的权。(3) (3) 二进制与十进制间的转换二进制与十进制间的转换二进制转换为十进制二进制转换为十进制方法:将二进制按权展开相加方法:将二进制按权展开相加如:如:DB)11(2121202021)10011(01234(注:下标(注:下标D D表示十进制,下标表示十进制,下标B B表示二进制)表示二进制)十进制转换

5、为二进制十进制转换为二进制方法:除数取余法方法:除数取余法如:将十进制的如:将十进制的1313转换成二进制转换成二进制所以,所以,BD)1101()13(2 2、码制、码制代码:表示事物时不再有数量的大小,只是不同事物的代号。如身份证号、代码:表示事物时不再有数量的大小,只是不同事物的代号。如身份证号、学号。学号。码制:在编制代码时要遵循的规则。码制:在编制代码时要遵循的规则。8421BCD8421BCD码码是常用的一种是常用的一种BCDBCD码。它由码。它由4 4位二进制数位二进制数00000000到到10011001组成,依次组成,依次代表十进制的代表十进制的1 1到到9 9 。 如上表所

6、示,码中如上表所示,码中4 4位二进制数从左到右依次代表位权位二进制数从左到右依次代表位权8 8,位权,位权4 4,位权,位权2 2,位权,位权1 1,因此称为,因此称为8421BCD8421BCD码。其和十进制之间的转换过程如下:码。其和十进制之间的转换过程如下: DBCD)5(01204180)0101(8421DBCD)9041()0000011001000001(8421 任务2 2 门电路的认识和验证 数字电路的输出信号和输入信号之间是具有一定因果关系的,这种数字电路的输出信号和输入信号之间是具有一定因果关系的,这种信号间的因果关系称为信号间的因果关系称为逻辑关系逻辑关系,因此数字电

7、路又称为,因此数字电路又称为逻辑电路逻辑电路。逻辑。逻辑电路的基本单元有两个:门电路和触发器。电路的基本单元有两个:门电路和触发器。 逻辑电路中实现最基本逻辑关系的电路称为逻辑电路中实现最基本逻辑关系的电路称为逻辑门电路逻辑门电路,简称为,简称为门门电路电路。最基本的门电路有与门、或门、非门、与非门和或非门。最基本的门电路有与门、或门、非门、与非门和或非门。 1 1、与门、与门 与门与门是指能够实现与运算的电路。只有决定事物结果的全部是指能够实现与运算的电路。只有决定事物结果的全部条件同时具备时,结果才发生,这种因果关系叫逻辑与,或者条件同时具备时,结果才发生,这种因果关系叫逻辑与,或者叫逻辑

8、相乘叫逻辑相乘 。&ABYY=A B=AB设设0 0表示开关断开以及灯不亮;表示开关断开以及灯不亮;1 1表示开关闭合以及灯亮,则当开关表示开关闭合以及灯亮,则当开关A A与与B B均闭合时,灯均闭合时,灯Y Y才亮才亮 a) 与逻辑关系 b) 与逻辑真值表 逻辑关系是逻辑关系是“全全1 1出出1 1,有,有0 0出出0 0”。 2 2、或门、或门 或门或门是指能够实现或运算的电路。是指能够实现或运算的电路。在决定事物结果的诸条件在决定事物结果的诸条件中只要有任何一个满足,结果就会发生。这种因果关系中只要有任何一个满足,结果就会发生。这种因果关系叫逻辑或,或者叫逻辑相加叫逻辑或,或者叫

9、逻辑相加 1ABYY=A+B 开关开关A与与B只要有一个闭合,灯只要有一个闭合,灯Y就亮就亮 a) 或逻辑关系 b) 或逻辑真值表 逻辑关系是逻辑关系是“全全1 1出出1 1,全,全0 0出出0 0”。 3 3、非门、非门 非门非门是指能够实现或运算的电路。是指能够实现或运算的电路。只要条件具备了,结果便不会只要条件具备了,结果便不会发生;而条件不具备时,结果一定发生。这种逻辑关系叫做逻辑非,发生;而条件不具备时,结果一定发生。这种逻辑关系叫做逻辑非,也叫做逻辑求反也叫做逻辑求反 1AYY=A 当开关当开关A闭合,灯不亮闭合,灯不亮;当开关当开关A断开,灯亮断开,灯亮 a) 非逻辑关系 b)

10、非逻辑真值表 逻辑关系是逻辑关系是“是是1 1出出0 0,是,是0 0出出1 1”。 4 4、与非门、与非门 &ABYY1BY&AY=A B = AB 逻辑关系是逻辑关系是“全全1 1出出0 0,有,有0 0出出1 1”。ABY001011101110 4 4、或非门、或非门 逻辑关系是逻辑关系是“有有1 1出出0 0,全,全0 0出出1 1”。1ABYY1BY1AY=A+BABY001010100110任务三 触发器的认识和验证 在各种复杂的数字电路中,不仅需要对二值信号进行算数运算和逻在各种复杂的数字电路中,不仅需要对二值信号进行算数运算和逻辑运算,还经常需要将这些信号和计

11、算结果保存起来,供人们直接读取辑运算,还经常需要将这些信号和计算结果保存起来,供人们直接读取或应用。为此,需要使用有记忆功能的基本逻辑单元。通常将能够存储或应用。为此,需要使用有记忆功能的基本逻辑单元。通常将能够存储1位二值信号(即位二值信号(即0或者或者1)的基本单元电路统称为触发器。)的基本单元电路统称为触发器。 触发器是时序逻辑电路中最基本的电路器件,它是由门电路合理连触发器是时序逻辑电路中最基本的电路器件,它是由门电路合理连接而成的,它与组合逻辑电路不同之处为:具有接而成的,它与组合逻辑电路不同之处为:具有“记忆记忆”功能。功能。一一、触发器的基本认识触发器的基本认识 1 1、触发器的

12、特点触发器的特点u 具有两个稳定存在的状态,用来表示逻辑状态的具有两个稳定存在的状态,用来表示逻辑状态的0 0和和1 1,或二,或二进制数进制数0 0和和1 1。触发器有两个输出端,分别用。触发器有两个输出端,分别用 和和 表示。正常情况下,表示。正常情况下, 和和 总是互补的。总是互补的。u 在触发信号的作用下,根据不同输入信号可以把触发器的输在触发信号的作用下,根据不同输入信号可以把触发器的输出(出(Q Q )置成)置成0 0或或1 1状态,即在一定条件下输出状态是可以改变的。状态,即在一定条件下输出状态是可以改变的。u 输入信号消失后,触发器能把对它的影响保留下来,即具有输入信号消失后,

13、触发器能把对它的影响保留下来,即具有“记忆记忆”功能。功能。 2 2、触发器现态、次态和时序的概念触发器现态、次态和时序的概念 现态触发信号输入前,触发器所处的状态,用现态触发信号输入前,触发器所处的状态,用 表示表示 。 次态触发信号输入后,触发器获得的新状态,用次态触发信号输入后,触发器获得的新状态,用 表示。表示。 时序在输入信号作用下,触发器状态更新和演化过程的时时序在输入信号作用下,触发器状态更新和演化过程的时间序列。间序列。 按照电路的结构分类按照电路的结构分类:可以分为可以分为SRSR触发器、同步触发器(也称为时钟触发器、同步触发器(也称为时钟控制触发器)、主从触发器、维持阻塞触

14、发器和边沿触发器。控制触发器)、主从触发器、维持阻塞触发器和边沿触发器。按照触发方式分类按照触发方式分类:可以分为电平触发、脉冲触发和边沿触发可以分为电平触发、脉冲触发和边沿触发3 3种。种。按照逻辑功能分类按照逻辑功能分类:可以分为可以分为SRSR触发器、触发器、JKJK触发器、触发器、D D触发器、触发器、T T触触发器和发器和 触发器触发器5 5种。种。二二、触发器的触发器的分类分类 1 1、RSRS触发器触发器 (1)基本)基本RS触发器触发器 电路结构和符号 功能分析功能分析 A. A. 当当 时,由与非门的逻辑功能可知时,由与非门的逻辑功能可知 输入低电平,输出输入低电平,输出 ,

15、 ,反馈到反馈到 的的输入端,使输入端,使 的输入均为高电平,的输入均为高电平, ,触发器为,触发器为1 1态。输入端态。输入端 称为直称为直接置接置1 1端,也称直接置位端,低电平有效。端,也称直接置位端,低电平有效。B. B. 当当 时,同理可得时,同理可得 、 ,触发器为,触发器为0 0态。输入端态。输入端 称为直接置称为直接置0 0端,端,也称直接复位端,低电平有效。也称直接复位端,低电平有效。C. C. 当当 时,触发器状态维持不变。设原来触发器的状态为时,触发器状态维持不变。设原来触发器的状态为 、 , 端端的低电平加到的低电平加到 的输入端,使的输入端,使 维持在维持在1 1态;

16、该信号反馈到态;该信号反馈到 的输入端,的输入端,则则 的输入均为高电平,使的输入均为高电平,使 维持在维持在0 0态。如原来触发器的状态为态。如原来触发器的状态为 、 ,触发器仍保持原来的状态,这种保持原来状态的功能就称为记忆触发器仍保持原来的状态,这种保持原来状态的功能就称为记忆功能。功能。D. D. 当当 时,触发器的两个输出端都为高电平,即时,触发器的两个输出端都为高电平,即 ,这违反了,这违反了 和和 状状态必须相反的原则。而且,当态必须相反的原则。而且,当 的低电平同时撤销时,由于两个与的低电平同时撤销时,由于两个与非门的平均延迟时间并不知道,触发器恢复为非门的平均延迟时间并不知道

17、,触发器恢复为0 0态还是态还是1 1态无法判定,态无法判定,因此在应用时,严格禁止在因此在应用时,严格禁止在 端同时加低电平信号端同时加低电平信号。输 入输出逻辑功能 QnQn+10 101置111 000置011 100保持110 00未定义禁用1SR基本基本RS触发器真值表触发器真值表 表中,表中, Qn Qn 为现态;为现态; Qn+1 Qn+1 为次态。因为次态为次态。因为次态Qn+1Qn+1的状态不仅和触的状态不仅和触发信号相关,还和现态发信号相关,还和现态QnQn相关,所以相关,所以QnQn也是真值表中的一个输入变量。也是真值表中的一个输入变量。 基本基本RSRS触发器的逻辑功能

18、除了可用真值表表示,还能用特性方触发器的逻辑功能除了可用真值表表示,还能用特性方程表示,特性方程是指次态程表示,特性方程是指次态Qn+1Qn+1的逻辑式,表述如下:的逻辑式,表述如下: 式中,式中, 称为约束方程。称为约束方程。(2 2)同步)同步RSRS触发器触发器因为没有时钟控制端,因此多个基本触发器工作时,很难做到协因为没有时钟控制端,因此多个基本触发器工作时,很难做到协调一致。为此,在基本调一致。为此,在基本RSRS触发器的基础上增加了控制电路和控制触发器的基础上增加了控制电路和控制信号,当控制信号到来时,触发器的状态发生翻转,具有这种特信号,当控制信号到来时,触发器的状态发生翻转,具

19、有这种特征的触发器称为同步触发器或时钟触发器。征的触发器称为同步触发器或时钟触发器。电路结构和符号电路结构和符号功能分析功能分析当当CP=0CP=0时,时, 的输入为的输入为1 1,触发器的状态保持不变;,触发器的状态保持不变;当当CP=1CP=1时,时, 的输入分别为的输入分别为 ,其功能和基本,其功能和基本RSRS触发器一致,真值表触发器一致,真值表如如下:下:CP=1逻辑功能 QnQn+11 001置110 100置010 000保持111 10未定义禁用1SR同步RS触发器真值表特性方程: 2 2、边沿、边沿JKJK触发器触发器电路结构和符号功能分析功能分析JKJK触发器的特性方程:触

20、发器的特性方程: 当当CPCP下降沿到来时:下降沿到来时:A. A. 若若J=K=0 J=K=0 ,则,则Qn+1=QnQn+1=Qn,触发器保持状态不变。,触发器保持状态不变。B. B. 若若J=0J=0、K=1 K=1 ,则,则Qn+1=0Qn+1=0,触发器置,触发器置0 0,K K端叫置端叫置0 0端。端。C. C. 若若J=1J=1、K=0 K=0 ,则,则Qn+1=1Qn+1=1,触发器置,触发器置1 1,J J端叫置端叫置1 1端。端。D. D. 若若J=K=1 J=K=1 ,则,则Qn+1=QnQn+1=Qn,触发器翻转。,触发器翻转。 边沿边沿JKJK触发器触发器真值表真值表

21、例例 已知下降沿触发的已知下降沿触发的JKJK触发器的触发器的CPCP和和J J、K K波形,画出输出端波形,画出输出端Q Q的波形。的波形。设设Q Q的初始状态为的初始状态为“0”“0”。解:先找出解:先找出CPCP的下降沿,再根据输入信号的下降沿,再根据输入信号J J、K K的值便可得到输出的值便可得到输出Q Q的波的波形,如形,如下下图所示。图所示。 引线端子排列与逻辑符号引线端子排列与逻辑符号 边沿边沿D D触发器也有上升沿触发型和下降沿触发性两种,其触发器也有上升沿触发型和下降沿触发性两种,其中上升沿触发型用的最多,中上升沿触发型用的最多,下下图为双上升沿触发器图为双上升沿触发器74

22、LS7474LS74的引的引线端子排列图及逻辑符号。在芯片内有两个相同的线端子排列图及逻辑符号。在芯片内有两个相同的D D触发器,触发器,每个都有数据输入端每个都有数据输入端D D,时钟触发端,时钟触发端CPCP、预置数据端、预置数据端 互补输出互补输出 。触发器的符号如。触发器的符号如 b)b)所示。所示。 3 3、边沿、边沿D D触发器触发器功能分析功能分析D D触发器的特性方程:触发器的特性方程: 当当CPCP上升沿到来时:上升沿到来时:A. A. 若若D=0 D=0 ,则,则 ,触发器置,触发器置0 0。B. B. 若若D=1 D=1 ,则,则 ,触发器置,触发器置1 1。所以,所以,

23、D D触发器有置触发器有置0 0和置和置1 1两种功能,由此可得真值表。两种功能,由此可得真值表。边沿边沿D D触发器触发器真值表真值表例例 已知上升沿触发的已知上升沿触发的D D触发器的触发器的CPCP脉冲和脉冲和D D波形,画出输出端波形,画出输出端Q Q的波形。设的波形。设Q Q的初始状态为的初始状态为“0”“0”。解解: 4 4、T T触发器触发器 T T触发器触发器 T T触发器是指在时钟脉冲触发器是指在时钟脉冲CPCP有效沿作用下,具有保持和翻转功有效沿作用下,具有保持和翻转功能的触发器。能的触发器。 T T触发器无单独的产品,一般用触发器无单独的产品,一般用JKJK触发器或触发器

24、或D D触发器触发器组成,如图所示。组成,如图所示。 a) JK触发器构成的触发器构成的 T触发器触发器 b)D触发器构成的触发器构成的T 触发器触发器T T触发器的特性方程:触发器的特性方程:T触发器具有保持和翻转功能,其真值表如下:触发器具有保持和翻转功能,其真值表如下: 触发器触发器触发器是指在时钟脉冲触发器是指在时钟脉冲CPCP有效沿作用下,只有翻转功能的触发有效沿作用下,只有翻转功能的触发器。器。 触发器也无单独的产品,一般用触发器也无单独的产品,一般用JKJK触发器或触发器或D D触发器组成触发器组成,如图所示。如图所示。 a) JK触发器构成的触发器构成的 触发器触发器 b)D触

25、发器构成的触发器构成的 触发器触发器触发器的特性方程:触发器的特性方程:触发器在每次触发器在每次CPCP有效沿到来时都进行一次翻转,其真值有效沿到来时都进行一次翻转,其真值表如下表如下: 触发器的应用非常广泛。比如计数器、寄存器,都是由触发器构触发器的应用非常广泛。比如计数器、寄存器,都是由触发器构成;再比如单脉冲发生器、频率变换电路以及抢答器。下面以抢答器成;再比如单脉冲发生器、频率变换电路以及抢答器。下面以抢答器为例,介绍触发器的应用。为例,介绍触发器的应用。三、三、触发器的触发器的应用应用 上图为上图为4 4人用智力抢答器人用智力抢答器。该电路主要由四该电路主要由四D D触发器、与非门、

26、触发器、与非门、开关、电阻和发光二极管等元器件组成。其中开关、电阻和发光二极管等元器件组成。其中 K K1 1 ,K2K2,K K3 3,K K4 4为为抢答抢答人按钮,人按钮,S S 为主持人按钮。为主持人按钮。74LS17574LS175为四为四D D触发器,其内部有四个独立的触发器,其内部有四个独立的D D触发器,输入端分别为触发器,输入端分别为 D D1 1, D, D2 2, D, D3 3, D, D4 4, ,输出分别是输出分别是 Q Q1 1, Q, Q1 1, Q, Q2 2, Q, Q2 2, Q, Q3 3, Q, Q3 3, , Q Q4 4, Q, Q4 4, , 。

27、四个。四个D D触发器具有共同的时钟端和异步清零端(触发器具有共同的时钟端和异步清零端(R RD D )。显示)。显示部分由发光二极管实现。部分由发光二极管实现。 当无人抢答时,当无人抢答时, K K1 1 ,K2K2,K K3 3,K K4 4均为低电平,所以四个输入信号均为低电平,所以四个输入信号 D D1 1, D, D2 2, D, D3 3, D, D4 4, ,也均为低电平,在连续时钟脉冲的作用下,也均为低电平,在连续时钟脉冲的作用下,74LS17574LS175的输的输出端出端 Q Q1 1, Q, Q2 2, Q, Q3 3, Q, Q4 4均为低电平,发光二极管不亮。均为低电

28、平,发光二极管不亮。假设假设 K K1 1被按下,被按下, D D1 1变为高电平,在时钟脉冲的作用下变为高电平,在时钟脉冲的作用下Q Q1 1立即变为高电立即变为高电平,对应的二极管发光。同时,平,对应的二极管发光。同时, Q Q1 1 =0 =0,使与非门,使与非门1 1的输出为的输出为1 1,再经过,再经过与非门与非门2 2后,反相,输出变为后,反相,输出变为0 0,而,而3 3的输出恒等于的输出恒等于4 41 1,此时,此时74LS17574LS175的输的输出不再发生变化,其余抢答者的按钮也不再起作用,从而出不再发生变化,其余抢答者的按钮也不再起作用,从而1 1号选手获得号选手获得抢

29、答权。若要清零,则由主持人按抢答权。若要清零,则由主持人按S S按钮,为下一次抢答做准备。按钮,为下一次抢答做准备。任务四 计数器与寄存器的应用 计数器是实现计数功能的时序部件,它不仅可用来记脉冲数,而且计数器是实现计数功能的时序部件,它不仅可用来记脉冲数,而且也常用作数字系统的定时、分频和执行数字运算以及其他特定的逻辑功也常用作数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。能。 计数器的种类很多,按触发器的翻转是否同时进行,可分为同步计计数器的种类很多,按触发器的翻转是否同时进行,可分为同步计数器和异步计数器。其中同步计数器是指在时钟信号有效沿到来时各个数器和异步计数器。其中同步

30、计数器是指在时钟信号有效沿到来时各个触发器的翻转是同时进行的,而异步计数器是指在时钟信号有效沿到来触发器的翻转是同时进行的,而异步计数器是指在时钟信号有效沿到来时,各触发器的翻转有先有后,是异步的;按计数的进制分有二进制计时,各触发器的翻转有先有后,是异步的;按计数的进制分有二进制计数器、十进制计数器和任意进制计数器;按计数时数字的增减分类,有数器、十进制计数器和任意进制计数器;按计数时数字的增减分类,有加法、减法和可逆计数器。加法、减法和可逆计数器。一一、计数器、计数器 异步二进制加法计数器的电路图如图所示,它由三个上升沿触发的异步二进制加法计数器的电路图如图所示,它由三个上升沿触发的D D

31、触发器组成。每个触发器接成触发器组成。每个触发器接成TT触发器的结构,这样,触发脉冲到来触发器的结构,这样,触发脉冲到来时,触发器状态翻转。最低位触发器时,触发器状态翻转。最低位触发器 由计数脉冲触发,其它各位触发器由计数脉冲触发,其它各位触发器由相邻低位触发器的输出脉冲触发,因此各位触发器的翻转时刻是异步由相邻低位触发器的输出脉冲触发,因此各位触发器的翻转时刻是异步的,计数器为异步计数器。的,计数器为异步计数器。 假设假设3 3个触发器的初态均为个触发器的初态均为0 0,由于外部计数器脉冲加于由于外部计数器脉冲加于FF FF 0 0的的CPCP端,端,所以每来一个计数计数脉冲上升沿,所以每来

32、一个计数计数脉冲上升沿, FF FF 0 0就翻转一次,得就翻转一次,得 Q Q0 0波形;而波形;而Q Q0 0 又作为又作为 FF FF 0 0的触发脉冲,所以的触发脉冲,所以 Q Q0 0每输出一次脉冲上升沿,每输出一次脉冲上升沿, FF FF 0 0就翻转一就翻转一次,得次,得 Q Q1 1的波形;以此类推,可得计数器的工作波形,如图所示。的波形;以此类推,可得计数器的工作波形,如图所示。由波形图可知:由波形图可知: 每个触发器都是每输入两个触发脉冲输出一个脉冲,符合逢二进一的每个触发器都是每输入两个触发脉冲输出一个脉冲,符合逢二进一的规律,因此计数器为二进制计数器。规律,因此计数器为

33、二进制计数器。 随着计数脉冲的输入,计数器按二进制加法规则计数随着计数脉冲的输入,计数器按二进制加法规则计数每经过一级触发器计数脉冲每经过一级触发器计数脉冲CPCP的频率下降的频率下降1/21/2,有分频功能。,有分频功能。 归纳可得计数器的分析方法:归纳可得计数器的分析方法: 分析各触发器的翻转条件。分析各触发器的翻转条件。 用波形图(时序图)或状态图记录状态转换过程。用波形图(时序图)或状态图记录状态转换过程。 根据波形图或状态图归纳出计数器的功能。根据波形图或状态图归纳出计数器的功能。 具有存放数码功能的逻辑电路称为寄存器。具有存放数码功能的逻辑电路称为寄存器。1 1数码寄存器。数码寄存

34、器。 数码寄存器也称为锁存器,是存放二进制数码的电路。由于触发器数码寄存器也称为锁存器,是存放二进制数码的电路。由于触发器具有记忆功能,因而它是数码寄存器的基本组成单元。现以集成具有记忆功能,因而它是数码寄存器的基本组成单元。现以集成4 4位数码位数码寄存器寄存器76LS17576LS175为例来理解数码寄存器的电路结构和功能。为例来理解数码寄存器的电路结构和功能。 下下图所示为图所示为4 4位数码寄存器位数码寄存器74LS17574LS175的逻辑电路图,其内部由的逻辑电路图,其内部由4 4个上升个上升沿沿D D触发器组成,触发器组成, D D3 3DD0 0为并行输入数码端,为并行输入数码

35、端,CPCP为寄存脉冲控制端,为寄存脉冲控制端,R RD D 为电路清零端,为电路清零端, Q Q3 3QQ0 0为并行输出数码端。为并行输出数码端。二二、寄存器、寄存器4 4位数码寄存器具有如下功能:位数码寄存器具有如下功能:清零。在清零。在R RD D 加低电平清零信号,寄存器中加低电平清零信号,寄存器中原存放的内容被清除,原存放的内容被清除, Q Q3 3 Q Q2 2Q Q1 1 Q Q0 0=0=0。寄存数据。寄存数据。 R RD D=1=1时时,将数据加到输入端,将数据加到输入端,CPCP上升沿到来时,数据被存入。上升沿到来时,数据被存入。 Q Q3 3 Q Q2 2Q Q1 1

36、Q Q0 0 = D= D3 3 D D2 2D D1 1 D D0 0保持。在保持。在R RD D=1=1 ,CP=0CP=0时,即清零信号和寄时,即清零信号和寄存脉冲不起作用时,寄存器中的数码保持不存脉冲不起作用时,寄存器中的数码保持不变,此时从输出端变,此时从输出端 Q Q3 3 Q Q2 2Q Q1 1 Q Q0 0可并行输出寄存可并行输出寄存器的数码。因为数码的输入、输出方式都是器的数码。因为数码的输入、输出方式都是并行工作方式,故又称为并行输入、并行输并行工作方式,故又称为并行输入、并行输出寄存器。出寄存器。2 2移位移位寄存器。寄存器。 移位寄存器不仅能寄存数码,还有移位的功能。

37、所谓移位,就是每移位寄存器不仅能寄存数码,还有移位的功能。所谓移位,就是每来一个移位脉冲,寄存器中所寄存的数据就向左或向右顺序移动一位。来一个移位脉冲,寄存器中所寄存的数据就向左或向右顺序移动一位。按照移位的功能不同,有单向移位寄存器和双向移位寄存器。两种移位按照移位的功能不同,有单向移位寄存器和双向移位寄存器。两种移位寄存器的分析方法是相似的,下面以单向移位寄存器为例进行分析。寄存器的分析方法是相似的,下面以单向移位寄存器为例进行分析。下下图为由图为由4 4个个D D触发器组成的触发器组成的4 4位右移寄存器,这位右移寄存器,这4 4个触发器低位的输出接个触发器低位的输出接至相邻高位的至相邻

38、高位的D D输入端,共用一个移位脉冲信号,数码由输入端,共用一个移位脉冲信号,数码由 FFFF0 0的的D D端串行端串行输入。输入。 设寄存器的初始状态为零,即设寄存器的初始状态为零,即 Q Q0 0 Q Q1 1Q Q2 2 Q Q3 3 =0000=0000,寄存的数码为寄存的数码为D Di i =1101=1101。在第一个移位脉冲上升沿到来时,寄存器的状态右移一位,数在第一个移位脉冲上升沿到来时,寄存器的状态右移一位,数码码 的最高位的最高位1 1存入存入FFFF0 0 ,寄存器的状态为,寄存器的状态为 Q Q0 0 Q Q1 1Q Q2 2 Q Q3 3 =1000 =1000 ;

39、第二个移;第二个移位脉冲上升沿到来时,寄存器的状态再右移一位,数码位脉冲上升沿到来时,寄存器的状态再右移一位,数码D Di i 的次高位的次高位1 1存存入入FFFF0 0 , FF FF0 0 原来的状态移至相邻的原来的状态移至相邻的FFFF1 1 ,寄存器的状态变为,寄存器的状态变为 Q Q0 0 Q Q1 1Q Q2 2 Q Q3 3 =1100 =1100 ;依次类推,第四个脉冲上升沿到来时,数码;依次类推,第四个脉冲上升沿到来时,数码 D Di i的最低位的最低位1 1存入存入FFFF0 0 ,寄存器的状态为,寄存器的状态为Q Q0 0 Q Q1 1Q Q2 2 Q Q3 3 =11

40、01 =1101 。这时,。这时, Q Q0 0 Q Q1 1Q Q2 2 Q Q3 3 可从可从寄存器的寄存器的 端并行输出寄存器的端并行输出寄存器的4 4位数码。如果再经过位数码。如果再经过4 4个脉冲,则所存个脉冲,则所存的数码的数码11011101逐位从逐位从 Q Q3 3 端串行输出端串行输出。任务五 555 555定时器及其应用 一一、555555定时器的结构及工作原理定时器的结构及工作原理 a)电路 b)引脚排线图 CB555定时器1. 1. 结构组成结构组成CB555CB555定时器的结构组成如下:定时器的结构组成如下:(1 1)分压器:由三个等值电阻构成)分压器:由三个等值电

41、阻构成(2 2)比较器:由电压比较器)比较器:由电压比较器 构成构成(3 3)基本)基本RSRS触发器:由与非门交叉连接组成触发器:由与非门交叉连接组成(4 4)与非门、晶体管)与非门、晶体管VTVT、反相器、反相器2. 2. 功能分析功能分析 555 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控定时器的功能主要由两个比较器决定。两个比较器的输出电压控制制 RS RS 触发器和晶体管的状态。图中,两个比较器触发器和晶体管的状态。图中,两个比较器 C C1 1 ,C C2 2的参考电压的参考电压 U UR1R1 ,U UR2R2由电源由电源 U UCCCC经过三个经过三个5K

42、5K 的电阻分压而得。在的电阻分压而得。在5 5端不接电压时,加在端不接电压时,加在 C C1 1同相端的参考电压同相端的参考电压 U UR1R1 =2/3 U=2/3 UCCCC, ,加在加在 C C1 1同相端的参考电压同相端的参考电压 U UR2R2 =1/3 =1/3 U UCC CC 。如果在。如果在5 5端外接固定电压端外接固定电压 U UCOCO, ,则参考电压由外接电压决定:则参考电压由外接电压决定: U UR1R1 = = U UCOCO, , U UR2R2 =1/2U=1/2UC0C0 。在在6 6端和端和2 2端分别加外加电压端分别加外加电压 u uI1 I1 , u, uI2I2则:则:二、二、555555定时器的应用定时器的应用1. 1.555555定时器构成的施密特触发器

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论