验证74138译码器功能_第1页
验证74138译码器功能_第2页
验证74138译码器功能_第3页
验证74138译码器功能_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDA设计(二)VHDL语言实验报告 实验名称:验证74138译码器功能姓 名:学 号:班 级:实验时间:一、 实验目的1、熟悉quartus软件的功能。2、验证3线-8线74138译码器的功能。二、 实验原理74138有3个输入端,3个使能输入端口,3个输入端口分别是A、B、C,其中C是高位,A是低位。3个使能输入端口分别是G1,G2AN,G2BN,只有当G2AN=G2BN=0,G1=1时,译码器才能正常工作,否则译码器处于禁止状态,所有输出端为高电平。当译码器正常工作时,74138译码器的逻辑功能表如下图所示: 三、 实验内容1. 打开quartus ii软件,新建工程,然后为工程和顶层文

2、件命名,并选择工作库。完成后,根据所使用的设备选择合适的器件,而此次试验所使用的器件为cyclone中的EP1C3T144C8。2. 工程建完后,新建文件,new对话框中选择device design files标签下的block diagram/schematic file,在此界面下画出原理图,然后保存文件。3. 对原理图进行编译,当编译状态栏显示为100%时,进行下一步。4. 新建波形文件,在new对话框中选择others files标签下的vector waveform file,在此界面下,先导入所有节点,并且为各个输入端列出各种可能情况,设置测试的总的时间周期,然后进行时序波形仿真,得到如下波形图: 5. 分配管脚:在assignments的下拉菜单中,选择assignment editor,在此对话框中,点击pin按钮,并在location中选择合适的管脚,然后对波形进行编译。 6. 下载文件:在tool下拉菜单中,选择programmer,在此对话框中选择program/configure,并单击hardware setup对硬件进行设置。硬件

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论