




下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、武汉科技大学继续教育学院自学考试本科毕业生毕业设计(论文)11 级 机电一体化专业题 目:24小时定时电路学生姓名:蔡建准考证号:014913273833指导老师:刘运苟时间:合作办学单位:武汉科技大学(洪山校区)摘要时间,是人类永恒的追问。随着科技的进步与生活方式的改变,人类发明了种类繁多的计时器。从原始的太阳钟到现在的原子钟,计时器追随者人类的进步的步伐,经历了计时精度由模糊到精确地过 程。而计时器的种类也经历了从单一型到多样化的蜕变。计时器,感 知着人类的发展与文明。本文将从人类与计时、中国生活方式与计时器、西方生活方式与计时器、计时器的“再设计”四个方面探讨计时 器,同时对未来计时器设
2、计提出思路。Time is an eternal question of mankind.With the advances in technology and changes of the life style,human invented a wide range of timer.From the original sun clock to the current atomic clock,timer followers the pace of human evolution and makes time from fuzzy to accurate.The timer also loo
3、ks from a single type to diversiform.Timer tells the human development and civilization.This article will talk about timer in four aspectswhich are human being and the timer,Chinese life style and the timer,Western life style and the timer,the timer ' sre-design” .And also put forward ideas for
4、the future timer design.目录摘要11 . 概述41.1 电子定时器的应用41.2 电子定时器的发展前景 41.3 电子定时器的发展前景52 .模块设计62.1 秒信号发生器电路 62.2 计时模块82.3 报警模块92.4 显示模块102.5 预设时间电路设计 112.6 启动/停止电路设计 132.7 复位电路设计132.8 调试142.9 设计电路图15三系统设计过程173.1 分频器模块 173.2 秒计时模块203.3 分计时模块223.4 时计时模块243.5 显示模块27四,电源设计29五总结31.概 述我们在日常生活中,经常会碰到一些需要定时的事情,例如:
5、印 相和放大图片,需要定时在零点几秒的时间,洗衣机洗涤衣服需要定 时在几分钟到几十分钟的时间, 电风扇需要定时数十分钟的时间。 完 成这种定时的定时器有多种多样,在家用电器中采用机械定时器就是 根据一般上弦钟表原理设计的,这种定时器虽然结构简单,成本低, 维修也比较简单,但是它的触头频繁接触和断开,大大的缩减了它的 使用寿命,也不利于进一步全自动化。在电子技术突飞猛进的今天, 电子计时器一定会逐步取而代之,这是不言而喻的。本文是基于74LS192设计的定时器。1.1 课题的来源和意义论文课题是通过导师精心筛选提出的, 基于单片机的电子定时设 计课题不一定很大,但需要通过亲手做一遍全过程,完成一
6、个产品制 作,这个过程的收获是很大的1.2 电子定时器的应用电子定时器在家用电器中经常用于延时自动关机、定时。延时自动关机可用于:收音机、电视机、录音机、催眠器、门灯、路灯、汽 车头灯、转弯灯以及其他电器的延时断电及延时自停电源等。定时可 用于:照相定时曝光、定时闪光、定时放大、延时催眠器、延时电铃、 延时电子锁、触摸定时开关等。例如:空调中的定时器,在工作一段 时间之后便能自动断开电源停止工作。夏季夜间使用,入睡前先定好 时间,等熟睡后就到了预定时间,空调自动关机,方便节能。定时器 除用于家用电器外,还广泛地用于工农业生产和服务设施,达到定时 时间后会给出提示,极大地方便了用户操作。1.3
7、电子定时器的发展前景传统的定时器绝大部分都是发条驱动式、电机传动式或电钟式等 机械电器,部分电子器械钟也有使用时间继电器的。相对于传统的定 时器,电子定时器的体积小、重量轻、造价低、精度高、寿命长而且 安全可靠、调整方便、适于频繁使用。所以电子定时器的发展必定大 有前途。同时随着先自爱电子技术的发展,定时器也在不断的进步, 朝向着更多用途、更高精度、更小体积发展着。二.模块设计本设计电路要求具有显示报警功能,因而所需要的模块含有时钟 脉冲模块、计时模块、显示模块、报警模块、总控模块五大模块共同 构成。其中,时钟模块用于产生计数时钟,计数模块用来记录经历的 时间,显示模块用来直观显示经历的时间,
8、报警模块用来当预置时间 到来时的报警提示,总控模块用来控制计时的中断与开始。具体关系 如下图所示:2.1秒信号发生器电路秒信号发生器采用555定时器,555定时器是一种模拟和数字功能相结合的中规模集成器件。其成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器。555定时器包括两个电压比较器,三个等值串联电阻,一个RS触发器,一个放电管T及功率输出级。它提供两个基准电压VCC通过对R1、R2向电容充电。电容上得到电压按指数规律上升,VCC/3 和 2VCC /3。当电容上的电压上身到2/3VCC时,输电压 VO为零,电容放电。当电压下降到1/3VCC时,输出电平为高电平,电容放电结
9、束。这样周 而复始便形成了振荡。我们要的周期是1秒,频率是1赫兹。周期T可以由下面的公式可知:T = R1.R2lnC选择了 R75=15K, R76=68K,C16=10uf得1秒的震荡时间2.2 计时模块计时电路,采用74LS192该芯片是同步10进制可逆计数器,具 有双时钟输入,可置数可清零。本次设计中我们将74LS192接成十进制和六十进制,考虑到我们 要倒计时。所以我们将所有74LS192的UP端在计数时保持高电平, 在秒计数的个位的74LS192:秒信号输入接到 DOWM ,秒计数的十 位:将输入端 的B,C端接高电平(即输入端接成0110),秒十位的 置数LD端和借位端BO连在一
10、起构成6进制,再把秒个位的BO和 秒十位的DOWN连在一起。当秒脉冲从秒个位的DOWN端输入的时 候秒计数的192开始从9减到0;这时,它的借位端BO会发出一个 低电平到秒十位的输入端 DOWN,秒十位的计数从6变到5, 一直到 变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号, DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个 DOWN脉冲来到时,计数器进入下一个循环减计数工作中。对于分计数来说,道理也是一样的;只是要求,将分十位的输入 端接成0101即(C和A接1, B, D接0),其他电路同上,因为在 分计数的两块74LS192上都是00时,在下一个脉冲到来是,分
11、个位 先产生借位,然后秒个位变成 9,与此同时分十位收到来自秒个位的 脉冲而使BCD变为5,变成59符合要求,如果接成6就变成69,因此在将分十位的输入端接成 0101 (5)。对与小时的话我们直接成两个10进制。电路图如下:图22.3 报警模块报警电路我们将用与门接成SR触发器,将小时十位的74LS192的借位端BO接R端,S端接来自启动/停止自锁开关的公共端6, 构成SR的与门U7的8管脚连接到暂停启动电路中德与门 U19的2端(产生停止信号),反向器4输出俩接MR (清零)和发光二极管BO端平时是高,定时时间到时,差生负跳变,在计数状态时启动/停止自锁开关的公共端6是高电平,因此SR为1
12、0状态 输出0再经反相器变为1,亮二极管产生报警。图32.4 显示模块显示电路采用了 4片一位的共阴极七段数码管(如图4.2所示), 来分别显示分钟计时的十位和个位,小时的十位和个位完成预置和显示功能。数码管的驱动电路采用的共阴极的七段译码器74LS48,它内部有上拉电阻,可以直接与共阴极的数码管相连接。由于数码管只用显示09的数字,则LT、LBI、BI/RBO三个脚都 接高电平,A B C D四个输入端连接74LS192的输出,就能显示出预 置的时间和定时的剩余时间。其连接电路图如图 4.1所示:此外根据要求秒要用一个发光二极管显示。 我们从VCC®一个10K 电阻再接发光二级管,
13、再接到秒个位的74LS192的脉冲输入端DOWM在DOWM1低时发光二级管就亮,从而使发光二极管随输入脉冲一闪 一亮,显示秒的变化。如图4.21kLED图4.22.5 预设时间电路设计预设时间电路我们采用三控(6路)不自锁开关,经过试验电容 按键消抖比不上SR触发器消抖,因此采用SR触发器按键消抖,提 高精度。SR的S端接不自锁开关6端同时再接一个10K电阻到VCC, SR的R端接不自锁开关的同组非公共端 4也是接10K电阻到VCC, SR的输出端,再经过一个与非门接到接 74LS192的UP端,其他的 也如此。在没有按下时,SR位10出0,按下时01出1,再经与非门所 以会再UP端出现10。
14、10,下降沿的变化,来预置时间。 下图5.1考虑到计数器在正常工作时,禁止调时间并且保持74LS192的UP端保持为高电平,我们加入了按键自锁电路,即在SR输出端接到 一个门电路的一个输入端,在暂停/启动开关的另一组三控开关的公 共端2接到与门的另一个输入端上,如图1,暂停/启动开关的1接 GND, 2接VCC,即可,如图1在启动模式时与SR想连的门电路关 闭,禁止调时间。此外,在分的十位上,为了避免在预置时间时出现大于 6以上的 数,我们增加了清零电路。如图5.2U414up3_4TT1510U24ACLR> UP$DWNLDABCD74LS1923174LS32coBOQAQBQCQ
15、D.XIR U19B图5.2133fen_SHI02 fen SHI16fen SHI27 fen SHT3674LS08vcc2.6 启动/停止电路设计启动/停止电路,我们选择了双组三控自锁开关(6管脚)、与非 门电路和与门电路。自锁开关一组的公共端 5接经过一个与门(与门 的另一输入端接来自报警系统到的信号,该不报警时为 1)再接与非 门的一个输入端5,同组的另外两端分别接6接VCC和5接GND , 555振荡器的输出端接与非门的剩下输入端 4,自锁开关在没按下的 时开关的5脚为0,只要与门的任意一个端输入 0,与非门的5脚为低门电路关闭,555的脉冲无法进入到计数电路,计数停止,反之为开
16、启,此处我们实现了按键启动/停止,或定时时间到也可使之停止的功能。电路图如下:图62.7 复位电路设计复位电路,将除分十位的74LS192的MR要经或门连接外,其余的74LS192的复位MR端连起来,再加上按键和两个电阻,和10uf的电容接成上电复位脉冲输入如下图 7复位按键的输入脉冲经过与门 连接到与非门U7A的1端,时十位的借位BO接到U7A的2端。复位按键没有被按下时,为低取反后为高,时十位的借位 BO,在没有借位信号时为高,经过与非门使74LS192的清零CLR为低,不产生清零,当按键按下时或定时时间到时CLR为高,产生清零,2.8调试本次设计分为五大模块。在调试时,采用分模块的调试方
17、法,重 点可分两大模块调试:方波产生模块和计时模块。一:调试方法 方波产生电路:本模块包括两个核心芯片,即:555定时器芯片和运算放大器 OP07调试时先接上电源,将示波器的 探头接到本级电路的输出端,观察电路的输出波形。计时电路:接通电源,将前一级产生的方波输入到个位计数器的 CP册口,将清零信号拉低,将置数控制端拉低置数,然后将置数控 制端拉高,观察数码管是不是进行倒计时。到零时观察 LED灯是不是 发出报警。总控端:开关J11为总控开关,在以上调试正确后,在电路运行 时将J11拉低,看电路是不是停止运行,由此来判断总控开关是不是 正常工作。二:发现的问题 开始时发现总是脉冲信号,检查发现
18、应该讲 示波器的CH1通道打到交流档。排除以上错误后发现波形的占空比没有达到 50%,此时调节电位 器,是输出的波形满足要求。接通电源并输入信号后发现,数码管并不时间递减,这就意味着 芯片没有进行减计数,检查发现原来芯片的电源没有接通,排除以上 错误后,整个电路运行正常。2.9设计电路图三.系统设计过程3.1分频器模块1 :模块说明:输入一个频率为50MH木勺CLK利用计数器分出1KHz的 qlKHz 500Hz的 q500Hz, 2Hz的 q2Hz和 1Hz的 q1Hz2 :源程序:LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_l
19、ogic_unsigned.all;ENTITY fdiv ISPORT (CLK: IN STD_LOGIC ;-输入时钟信号q1KHz: BUFFER STD_LOGIC;q500Hz: BUFFER STD_LOGIC;q2Hz: BUFFER STD_LOGIC;q1Hz: OUT STD_LOGIC);END fdiv ;ARCHITECTURE bhv OF fdiv ISBEGINP1KHZ:PROCESS(CLK)VARIABLE cout:INTEGER:=0;BEGIN每来个时钟上升沿时IF CLK'EVENT AND CLK='1' THENcou
20、t:=cout+1;cout开始计数IF cout<=25000 THEN q1KHz<='0'- 当 cout<=25000 时,q1KHz输出 “0”ELSIF cout<50000 THEN q1KHz<='1'- 当25000<cout<=50000 时,q1KHzELSE cout:=0;-输出 “1”,完成 1KHz频率输出END IF;END IF;END PROCESS;P500HZ:PROCESS(q1KHz) -q1KHz作为输入信号,分出q500HzVARIABLE cout:INTEGER:=0;
21、BEGINIF q1KHz'EVENT AND q1KHz='1' THENcout:=cout+1;IF cout=1 THEN q500Hz<='0'-二分频ELSIF cout=2 THEN cout:=0;q500Hz<='1'END IF;END IF;END PROCESS;P2HZ:PROCESS(q500Hz)VARIABLE cout:INTEGER:=0;BEGINIF q500Hz'EVENT AND q500Hz='1' THEN cout:=cout+1;IF cout<
22、=125 THEN q2Hz<='0'ELSIF cout<250 THEN q2Hz<='1'ELSE cout:=0;END IF;END IF;END PROCESS;P1HZ:PROCESS(q2Hz)VARIABLE cout:INTEGER:=0;BEGINIF q2Hz'EVENT AND q2Hz='1' THEN cout:=cout+1;IF cout=1 THEN q1Hz<='0'ELSIF cout=2 THEN cout:=0;q1Hz<='1'EN
23、D IF;END IF;END PROCESS;END bhv;3.2秒计时模块1:模块说明:通过分频获得的时钟信号,便是1s,秒的低位到达9是向高位进1,高位到达6是向上进1,并清零。2 :源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second isport(clk,reset:in std_logic;sec1,sec2:out std_logic_vector(3 downto 0);carry:out std_logic);end second;architect
24、ure rt1 of second issignal sec1_t,sec2_t:std_logic_vector(3 downto 0);beginprocess(clk,reset)beginif reset='1'thensec1_t<="0000"sec2_t<="0000"elsif clk'event and clk='1'thenif sec1_t="1001"thensec1_t<="0000"if sec2_t="0101&quo
25、t;thensec2_t<="0000"elsesec2_t<=sec2_t+1;end if;elsesec1_t<=sec1_t+1;end if;if sec1_t="1001" and sec2_t="0101"thencarry<='1'elsecarry<='0'end if;end if;end process;sec1<=sec1_t;sec2<=sec2_t;end rt1;3.3分计时模块1:模块说明:这里用的时钟信号的来自秒的进位,即进一位
26、就是 1min,分的低位到达9是向高位进1并清零,高位到达6时向 上进1,到达5时等待进位后清零。.2 :源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,reset:in std_logic;min1,min2:out std_logic_vector(3 downto 0);carry:out std_logic);end second;architecture rt1 of minute issignal min1_t,min2_t:st
27、d_logic_vector(3 downto 0);beginprocess(clk,reset)beginif reset='1'thenmin1_t<="0000"min2_t<="0000"elsif clk'event and clk='1'thenif min1_t="1001"thenmin1_t<="0000"if min2_t="0101"thenmin2_t<="0000"elsemin2_t
28、<=min2_t+1;end if;elsemin1_t<=min1_t+1;end if;if min1_t="1001" and min2_t="0101"thencarry<='1'elsecarry<='0'end if;end if;end process;min1<=min1_t;min2<=min2_t;end rt1;3.4时计时模块1:模块说明:这里的时钟信号时来自上面的分的进位,上面进一位便表示1h,时的低位到达9是向高位进1并清零,高位到达2等待进位后清零,这里当高
29、位到达2时,低位为3即将到4时开始进位。2 :源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport (clk,reset:in std_logic;hour1,hour2:out std_logic_vector(3 downto 0);end hour;architecture rt1 of hour issignal hour1_t,hour2_t:std_logic_vector(3 downto 0);beginprocess(clk,reset)be
30、ginif reset='1'thenhour1_t<="0000"hour2_t<="0000"elsif clk'event and clk='1'thenif hour1_t="0011" and hour2_t="0010"thenhour1_t<="0000"hour2_t<="0000"elseif hour1_t="1001"thenhour1_t<="0000&
31、quot;if hour2_t="0010"thenhour2_t<="0000"elsehour2_t<=hour2_t+1;end if;elsehour1_t<=hour1_t+1;end if;end if;end if;end process;hour1<=hour1_t;hour2<=hour2_t;end rt1;3.5显示模块1:模块说明:通过共阳级数码管显示对应的数值。2:源程序 library ieee;use ieee.std_logic_1164.all;entity segment7 isport(
32、data:in std_logic_vector(3 downto 0);dout:out std_logic_vector(6 downto 0);end segment7;architecture behav of segment7 isbeginprocess(data)begin case data iswhen "0000"=>dout<="1111110"when "0001"=>dout<="0110000"when "0010"=>dout<="1101101"when "0011"=>dout<="1111001"when "0100"=>dout<="0110011"when "0101"=>dout<="1011011"when "0110"=>dout<="1011111
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 智能设计在体育设施建设中的应用与挑战
- 论“双减”政策下劳动教育与语文教学的融合
- 城市公园绿地系统规划实例
- 幼儿园小班饮食教育实践与思考
- 城市燃气安全应急预案研究
- 大数据在客户关系管理中的应用研究
- 小学生做人课件
- 课后延时服务促双减 美术校本课程显特色
- 创新无线充电纽扣解决方案市场需求分析报告
- 13.2.1三角形的边课件-人教版八年级数学上册
- 八上数学冀教课后习题答案
- 2022年石嘴山市矿业(集团)有限责任公司招聘考试真题
- 哪些农产品免税(免税农产品包括哪些)
- 融资合作协议模板(2篇)
- 母乳喂养自我效能量表(BSES) (1)附有答案
- (品管圈)良肢位摆放演示教学课件
- 保姆级别CDH安装运维手册
- 园林绿化及广场施工方案
- 可下载打印的公司章程
- 129平米全包装修报价明细表
- --水库除险加固工程下闸蓄水验收建设管理工作报告
评论
0/150
提交评论