高精密数字电压表设计_第1页
高精密数字电压表设计_第2页
高精密数字电压表设计_第3页
高精密数字电压表设计_第4页
高精密数字电压表设计_第5页
已阅读5页,还剩32页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、摘 要本次设计采用先进行AC/DC的转换,再送AD转换并用数码显示的基本思路。基于所测电压信号的特性,模拟电路部分采用精密检波电路和有源低通滤波,能获得平滑稳定的直流电压信号。为了达到测量要求的精度,ADC选用精度为11位、抗干扰能力强的积分式MC14433,而单片机则选用技术成熟的MCS-51系列,此外,引入继电器控制量程,通过软件编程实现单片机对量程的自动换挡,达到自动量程转换。整个系统在交流电压0200V内,实现自动量程转换,并进行四位数码显示,显示值为有效值形式。本次设计的测量范围为0200V交流电压,频率为50HZ,精度±0.2V,转换时间1s,实际设计均高于该指标。关键词

2、: 精密检波 有源低通滤波器 自动量程转换ABSTRACTThis design adopts the basic train of thought that take conversion of AC/DC firstly, then sends AD conversion again and use the number shows. Based on the property of voltage signal that be measured, simulated circuit part adopts precision detector circuit and active LPF

3、, can get smooth steady direct current signal. To reach the precision of measure requirement, we choose precision integral type MC14433 of the 11 strong abilities of interference rejection, and chooses technical maturation series single chip computer- MCS-51. Besides, we select relay control span, t

4、hrough software programming realize single chip computer controlling change gear voluntarily, reach voluntarily span conversion. Entire system realizes voluntarily span conversion in 0200 V of alternating voltage, and carries out to show that value is effective value form. This designed system measu

5、res voltage signal from 0V to 200V in from of alternating voltage, which its frequency is 50 HZ. The measurements precision is ±0.2 V. Actually, the system of voltage measure is to be designed to be higher than this index.Key words: Precision detector circuits Active low wave filter Automatic s

6、pan changes35目录第一章 绪论12.1电压的测量32.2交流电压的测量3第三章 方案论证及设计概述10第四章 硬件部分设计及其分析124.1量程转换(即分压电路)124.2单片机控制继电器部分124.3平均值AC/DC转换电路134.4 AD转换214.5单片机及数码显示26第五章 软件及其程序流程部分295.1 数据采集部分的程序流程295.2数码显示部分的程序流程315.3 软件控制量程转换32第六章 总结33参考文献34致 谢35第一章 绪论数字化测量技术是面向21世纪的一项高、新科学技术,其基本内容是将连续变化的被测模拟量转换成离散的数字量,再经过数据采集、计数、编码、传输

7、与存储,最后完成数据化处理、图像处理、显示及打印工作,它所涉及的内容广泛,既有传感器、检测电路。又有数字化仪表、智能仪器、数据采集系统和检测系统。数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,这有别于传统的以指针加刻度盘进行读数的方法,避免了读数的视差和视觉疲劳。随着现代化技术的不断发展智能化数字表的功能越来越强,越来越多,其使用范围也会越来越广泛。采用智能化数字仪器不仅能提高测量准确度,而且还能提高测量技术的自动化程度,从而提高计量检定人员的工作效率。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不

8、连续、离散的数字形式并加以显示的仪表。数字电压表具有以下特点:1. 显示清晰直观,读数准确2. 显示位数显示位数通常为38位。判定数字仪表的位数有两条原则: 能显示从09所有的位是整数位; 分数位的数值是以最大显示值中最高位数字定为分子,用满量程时最高位数字作分母。例如:某数字仪表的最大显示值为±1999,满量程计数值为2000,这表明该仪器有3个整数位,而分数位的分子为1,分母是2,故称之为3位,读作三位半,其最高位只能显示0或1。五位半以上的大多为台式智能数字电压表。3. 准确度高准确度是测量结果中系统误差与随机误差的综合。它表示测量结果与真值的一致程度,也反映测量误差的大小,准

9、确度越高,测量误差越小。测量的绝对误差有两种表达形式: V = ±(a%Vx+b%Vm) V = ± (a%Vx+n) 其中,Vx为度数值(即显示值),Vm表示满度值。括号中前一项代表A/D转换器和功能转换器(如分压器)的综合误差,后一项是数字化处理所带来的误差。N是量化误差反映在末位数字上的变化量。4. 分辨率高数字电压表在最低电压量程上末位1个字所代表的电压值,称作仪表的分辨力,它反映了仪表灵敏度的高低,分辨力随显示位数的增加而提高。5. 测量范围宽6. 扩展能力强7. 测量阻抗高数字电压表具有很高的输入阻抗,通常为10M10000 M,这样在测量时从被测电路上吸取的电

10、流极小,不会影响被测信号源的工作状态,能减小由信号源内阻引起的测量误差。8. 抗干扰能力强9. 集成度高,微功耗基于上述,数字电压测量占有重要的地位,这里,我们设计有关数字电压测量系统。1第二章 电压测量的有关知识2.1电压的测量电量测量中的很多电参数,包括电流、功率、信号的调幅度、设备的灵敏度等等都可以视作电压的派生量,通过电压测量获得其量值。在非电量的测量中,也都利用各类传感器和测量电路将非电量转换为电压参数。电压测量时,表头并接在被测量电路上比电流测量时表头串接在被测电路中要直接方便。因此,无论是在电子电路和设备的测量调试中,还是在非电量电测技术中,电压测量都是不可缺少的基本测量。22.

11、2交流电压的测量2.2.1交流电压的表征1.峰值:周期性交流电压u(t)在一个周期内偏离零电平的最大值称为峰值,用Up表示,正、负峰值不等时分别用Up+和Up-表示;u(t)在一个周期内偏离直流分量的最大值称为幅值或振幅,;用Um表示,正、负幅值不等时分别用Um+和Um-表示。2.平均值:U实质上就是被测电压的直流分量Uo,在电子测量中,平均值通常指的是交流电压检波后(整流)以后的平均值,又可分为半波整流平均值和全波整流平均值。3.有效值:一个交流电压和一个直流电压分别加在同一电阻上,若它们产生的热量相等,则交流电压有效值U(或Urms)等于该直流电压。4.波形因数、波峰因数:交流电压的波形因

12、数KF定义为该电压的有效值和平均值之比 交流电压的波峰因数KP定义为该电压的峰值与有效值之比 不同的电压波形,其KF、KP值不同,我们可以参看各种常见电压的有关参数。2.2.2交流电压表读数的表示虽然电压量值可以用峰值、有效值、平均值表征,但基于功率的概念,国际上一直以有效值作为交流电压的表征量。电压表(除特殊情况外)几乎都按正弦波的有效值来定度。当用以正弦波的有效值来定度的交流电压表测量电压时,如果被测电压是正弦波,那么由有关的参数表很容易从电压表读数即有效值得知它的峰值和平均值;如果被测电压是非正弦波,那就须根据电压表读数和电压表所采用的检波方法,进行必要的波形换算,才能得到有关参数。32

13、.2.3交流电压的测量方法其测量方法有很多,其中主要是利用交流/直流(AC/DC)转换电路将交流电压转换成直流电压,然后再进行处理。根据转换器电路的类型,可分为检波法和热电转换法。而根据检波特性的不同,检波法又可分为平均值检波、峰值检波、有效值检波。1.均值检波电路输出的直流电流与输入的交流电压的平均值成正比的检波电路,称为平均值检波电路(简称均值检波电路)。(1)电路工作原理平均值检波电路分为半波检波和全波检波图。2-1(a)所示为半波检波电路。图2-1 平均值检波电路在图2-1(a)中,输入的交流电压为正半周时,二极管D导通,负半周时,D截止。检波电路的输出直流电压为输入的交流电压的平均值

14、 (可调节R值),其输出电流中的直流成分I0为: 图2-2 半波检波直流成分I0 (2-1)式中忽略了表头内阻,电容C起交流旁路作用。由于这种电路输出的直流电流是由输入交流电压的平均值决定的,故称为平均值检波。(2)平均值检波特点均值检波电路中,输出的直流电流I0与输入交流电压的平均值成线性关系,所以表头刻度是均匀的。为了便于读数,均值检波电压表的表头也是按照正弦信号平均值与有效值之间的比例关系,将测出的平均值电压换算成有效值来进行刻度的,所以表头读数也是有效值。例如用均值检波电压表,当输入正弦信号电压平均值为0.637V时,其表头读数为0.707V。因此,用一般按正弦电压平均值与有效值的关系

15、定度的均值检波电压表,测量非正弦电压时,由于它的平均值与有效值间的关系与正弦不同,就会产生测量误差。被测电压的波形不同时,其波形误差的大小也不一样。此外,用均值检波的电压表测失真的正弦电压时,通过理论分析可知,当二次谐波的失真度不超过10时,引起的最大波形误差不到1;而三次谐波的失真度不超过10时,其最大波形误差也不会大于5。所以,在测量波形失真不太严重的正弦电压时,平均值检波的电压表比峰值检波的电压表所引起的测量误差小,一般还是可以用的。2. 有效值检波电路输出的直流电流与输入的交流电压的有效值的平方成正比的检波电路,称为有效值检波电路,又称为均方根值检波电路。采用这种检波电路的电压表能直接

16、测出被测电压的有效值。(1)电路工作原理交流信号通过一个电阻在一周期中所发生的热量与直流电流通过同一电阻在同一时间内发生的热量相同,则对应的直流电压的量值称为该交流电压的有效值(R.M.S值)。数字表达式: (2-2)它表明交流电压有效值等于交流电压瞬时值平方后平均值的平方根。在电阻上产生的热量为: (2-3)前面介绍的均值检波电路中是力求其输入电压与输出电流间具有线性关系,即检波二极管工作在线性区域。如设检波二极管的伏安特性曲线具有平方律特性,即时:如输入电压 则输出电流i应为: (2-4)其中第一项即为检波后的直流电流I0 (2-5)上述分析表明,只要检波管具有平方律特性,便可实现有效值的

17、响应,而直流电流由输入电压有效值的平方决定,则变换关系就不是线性的。图2-3是有效值检波电路其中一种原理图。在图(a)所示桥式检波电路中,如负载电阻是可以随输入电压的变化而变化的,则改变R即可改变电路工作的伏安特性曲线的斜率,其伏安特性如图(b)所示。可见,当u<u1时,R最大,特性曲线具有斜率a;当u1<u<u2时,R减小,特性曲线具有斜率b,当u2<u<u3时,R更小,曲线具有斜率C。如果设计适当,便能使这条斜率可变的折线逼近于平方律曲线。这就是一种模拟分段复制函数法的一种有效值检波方案。有效值电压表除采用上述方法外,还常用热电偶构成。采用热偶式转换器,利用热

18、电偶变换原理构成有效值测试仪。它能在很宽的电平、复杂的波形和很高的频率内提供高精度的R.M.S/DC转换。4图2-3 有效值检波电路原理图图2-4 隐含计算型R.M.S/DC转换器原理图另一种是运用计算型R.M.S/DC转换器,通过将信号进行瞬时取样,然后转换成数字信号。这是目前广泛应用的最先进的测量方法之一。由美国模拟器件公司推出的集成电路芯片AD536等,它将全部电路集成在一块芯片内,准确的完成R.M.S值测量。它的原理如图2-4,从图中可知, 则 (2-6)该芯片内部由绝对值电路,平方器除法器,镜象电流源和缓冲放大器部分组成。其中平方器除法器由对数反对数放大器构成单象限函数电路,因而在前

19、级加绝对值电路,实质上是加一级精密全波整流电路。输入电压Uim经绝对值电路,转换时单极性电流进入平方器除法器,在经过内部R与外接Cav形成低通滤波器激励镜象电流源。只要R、Cav的时间常数远比输入信号最长的周期长,那么信号将有效地被平均,镜象电流源再将等于此平均值的镜象电流源返回至平方器除法器,即全部完成R.M.S值的运算。也有采用反向二极管实现R.M.S值的测量。反向二极管是隧道二极管的变件。与隧道二极管不同之处是它的峰流较小。它的特点有:(1)在零偏附近具有最大的曲率,适用于低电平检波。(2)在反偏压增加的情况下,反向电流的增加是按隧道电流密度增加成指数上升的,非常接近平方率曲线。它适用于

20、低电平宽频带检波,并具有良好的温度特性,目前这种检波器也运用得较多。(2)有效值检波的特点有效值检波电压表的主要特点是可以直接测量非正弦电压或失真的正弦电压的有效值,而不会像峰值或平均值检波电压表那样带来很大的波形误差。我们知道,非正弦电压的有效值为: (2-7)式中,U1,U2为基波和各次谐波电压的有效值。如被测电压 其中直流项I0为 (2-8)该项结果与前(2-5)式的检波后直接电流结果相同,即表示有效值检波能真实反映输入信号中所有波形的有效值总和。体现了实在的非正弦波的总有效值。由于这一重要特点,有效值检波电压表广泛用于杂音测试器,非线性失真仪等测量仪器中。本论文中,我们采用全波平均值检

21、波法。52.2.4采用全波平均值检波读数的换算由上述知,因为电压表按正弦波的有效值来定度,所以在得到的直流电压值需要经过一定的换算才能是电压的有效值,这样经过ADC得到的数值就可以直接用来送显示了。其换算过程如下:查找不同波形交流电压参数表:表2-1不同波形交流电压参数表波形名称波形系数波峰系数有效值平均值全波整流1.111.414利用公式有: U= 得:U=1.11即有效值是平均值的1.11倍,这要求在送显示之前把平均电压值通过电路或者软件编程换成有效值。第三章 方案论证及设计概述在上章节的测量方案中,对于一个未知的交流电压的数字测量,我们通常利用放大检波电路得到直流电压,然后送AD转换再送

22、单片机控制数码显示。完全可以实现设计要求,图如下:低通滤波电 路单片机数码显示AD 转换检波电路 (精密检波)继电器量程转换(分压电路)图3-1 数字电压表设计部件图将未知电压UX接入衰减器,量程挡设计为02V,220V,20200V(有效值)的控制。单片机执行程序,控制继电器将开关打到最高量程档,此时未知电压UX衰减100倍得到UX1,UX1接入检波电路,检波电路前置一级跟随器,提高输入阻抗。检波电路的设计,采用精密检波,通过该电路UX1将变为一个正全波的电压形式UX2,这时对UX2进行求平均值电压的运算,同时要滤除纹波电压,在这里采用二级有源低通滤波器电路,考虑到所测电信号的频率分量特点,

23、它的转折频率大约为20HZ,根据所用滤波电路,得出估算的电容C和电阻R的数值,电压信号经过两级滤波后,得到一平滑稳定的直流电压,从而达到预期的求平均值电压的功能,得到平均电压值。将平均电压信号送AD转换,经AD转换后,转换成数字量,为了达到设计要求的精度,在这里采用了MC14433积分式芯片,具有11位的精度,转换后的数值为BCD码。MC14433按2V的满量程的设计外接电路,单片机与该芯片按后图接好,AD转换的数字量与MCS-51的P1口相连接,单片机通过外部触发中断后读数。经单片机的读取后,得到AD转换的数字量,然后用单片机进行软件编程处理,其先将读取的BCD码转换为十六进制数,再乘以所用

24、量程相应的衰减的倍数(×10、×100),然后与所用量程的最小值进行比较。例如:所测电压为Vx(有效值),单片机控制接通2200V量程档,经前述电路转换后,在单片机的指定存储单元得到数M,将数M与十进制数20比较,如果:M大于或者等于N,则说明选择的量程档合适,然后软件将之乘以系数1.11(平均值转化为有效值的系数),再送数码显示;如果M小于N,则说明选择的是欠量程档,单片机调程序控制继电器选择下一个档,然后Vx衰减10倍,再经过前述的电路系统和软件的处理,所得数值与十进制数2比较,如此进行,单片机达到自动控制量程的转换,并且保证了转换的正确度和精度。显示部分采用四个LED

25、数码管动态显示,所显示的数值是电压的有效值。13第四章 硬件部分设计及其分析4.1量程转换(即分压电路)在对交流电压进行测量前,需要对电压进行有目的的衰减,从而形成量程的转换。综合考虑元件、检波电路、ADC转换芯片的要求,设计了一个3个ACV量程的转换电路(如图): 图4-1 分压电路三个ACV量程分别为2v、20v、200v、(有效值)。这个分压器的最高量程可以定为700v,不仅考虑所设计电压表的测量范围要求(0v200v),也考虑到量程开关的耐压值通常为1000v,而该档的最大峰值电压Vp=1.414*700=990V,它接近于1000V。这里按设计要求只定到200V由R1R5构成精密电阻

26、分压器,可将被测交流电压一律衰减成2v(RMS)以下。特别注意:R1R4应采用误差为±0.1%±0.5%的精度金属膜电阻,总阻值为10M。这是衰减器的关键条件,电阻一旦出现偏差,它的电压就会有很大偏差,从而对后面检测产生很大偏差,得到的电压值将会是不准确的。114.2单片机控制继电器部分基于继电器的性质,利用单片机的运算,编程控制输出高电平,再加以一定的电路,可以实现量程自动转换控制。为此,设计的控制电路图如下: 图4-2 控制继电器电路单片机发出高电平,送入上面的电路,维持一定时间的电平,使三极管可靠导通,电流的增加使得所用的继电器工作,将开关打到设定的量程挡,从而实现控

27、制。图中的电容是用来消除单片机复位时的I/O口的高电平的干扰,二极管用以保护电路,电阻的阻值选择如图所示,依据所设计量程挡的个数,这里需要三个如上图的控制继电器电路,用单片机的三个输出口分别连接到各个继电器的控制端。再由单片机程序指令发一定时间的高电平。本次设计是MC-51的P30P32口分别和四个继电器电路的控制端相连的.4.3平均值AC/DC转换电路平均值AC/DC转换器是由运算放大器和二极管组成的半波(或全波)线性整流电路。它具有线性度好、电路简单、成本低廉等优点。由于它是按照正弦波平均值与有效值的关系而定义的,因此所构成的仪表仅适合于测量不失真的正弦波电压。在这里,通过实验比较,选用跟

28、随器精密检波电路有源低通滤波电路,得到理想的直流电压。下面详细介绍这几部分电路。4.3.1跟随器通过衰减后的电压最高值为2V(有效值),为了提高输入阻抗,减少电压表对信号源的干扰,不会影响被测信号源的工作状态,能减小由信号源内阻引起的测量误差。同时减少了输出阻抗,达到测量电路所需的要求。对跟随进来的电压信号,我们采用精密检波进行处理。4.3.2精密检波所谓精密检波就是单极性的直流输出电压和输入的交流电压的幅值成线性关系。一般有半波精密检波(图4-3)和全波精密检波(图4-4)1、半波精密检波 图4-3 半波精密检波分析:、输入为正弦信号: (1)、当D1构成深度负反馈,使V-近似于0 因此Vo

29、=0;(2)、当 使用半波精密检波电路应注意以下几点:1、精密检波电路的工作频率在大信号时会受到压摆率的限制;2、精密检波电路的工作频率在小信号时的工作频率会受到运放-3dB带宽的影响;3、根据实际工作频率选择合适的运放才能保证精度。4、全波精密整流电路(绝对值电路): 图4-4 全波精密检波分析:(1)、时, 加法器A2输出 若取 所以有: (2)、<0时, , 该电路使用时应注意以下几点:1、绝对值电路在频率较低时可得满意结果;2、绝对值电路在频率较高时会产生相移破坏了加法电路所实现的运算关系,使波形失真,产生误差,必要时使用频率补偿电路补偿;3、该电路精度不仅与半波整流的精度有关还

30、与电阻的匹配有关;4、输入阻抗(R)低;5、需要5个匹配电阻。论证:根据所加电压信号以及输出要求,全波检波能够很好地满足,加之考虑到半波检波后,得到的电压信号可能太低,不利于后续的数据采集,较之半波检波可行性高,故本设计采用全波精密检波。94.3.3低通滤波通过检波电路后的电压(这里是半波电压信号),需要经过合适的硬件电路变换成直流电压。这里使用滤波电路,相当于既对电压信号进行了平均值运算,又很好地滤除了直流电压上的纹波,从而获得稳定的平均值电压,现在对滤波器电路分析如下:1、LC滤波器当f在几十kHZ到几百kHZ范围内时,元件的品质因数Q一般为100300,最好可到6001000。这种电路特

31、别适合于窄带滤波器,它的所有电感数值相同,电感量可按具有最佳值时的数值来确定,输入和输出阻抗可以是任意值,电路的调整方便,适合相对带宽 在1%20%范围内使用。LC滤波器具有不会产生内部噪声,不需电源,性能稳定和成本低等优点,但不能集成化,在使用频率较低时,体积大,笨重,损耗也大。2、晶体滤波器和陶瓷滤波器是以压电石英晶体或压电陶瓷作为基本谐振元件构成的滤波器。其中石英晶体谐振器的Q值可达到10,000150,000,能实现很窄的带通滤波器,可用来实现的频率范围为10kHZ30MHZ。但是它们的缺点是随着时间的推移特性而发生变化。考虑上述滤波器的工作特点,结合所要测量的电压信号的特点,我们选用

32、有源RC滤波器电路。3、有源RC滤波器低频,尤其是极低频范围,其它各种滤波器都不适宜,而有源RC滤波器电可以实现。在自动控制及测量技术中,往往要求滤波器处理0.01HZ以下的模拟信号,这时只有有源RC滤波器可以胜任。优点:低频性能好,体积小重量轻,精密度高,稳定性好等缺点:(工作频率一般<100HZ)1、需供电电源,消耗能量2、由于有源元件的本身特点,在内部噪声,动态范围,高频响应及处理大信号的能力等方面都受到一定限制3、灵敏度一般高于其他类型的滤波器,即易受到元件变化的影响。有源RC滤波器电路所能达到的频率范围受到运放的限制带宽 用来说明谐振峰的陡峭程度对于高Q(Q>1)滤波器而

33、言,由于极点在S平面很靠近虚轴,sin=1,所以 或者高Q二阶滤波器的频,在带通滤波器指中心频率;在低通或高通滤波器是指低通或高通特性发生转折处的频率。按频率特性可将有源滤波器分为LPF,HPF,BPF,BEF等四种,截止频率是指幅值下降3dB是所对应的频率;滤波器的固有频率成为谐振或中心频率;一个n阶滤波器可用多个二阶滤波器或一个一阶滤波器和多个二阶滤波器级联而得。二阶滤波器传递函数的一般形式为:K(s)=可令 则上式可改写为 K(s)=当b取不同值时可得到不同特性的滤波器: 1、b0=b1=0, b2= k002 时为低通滤波器: 2、b0 =k0 ,b1 =b2=0 时为高通滤波器; 3

34、、b0=b2 , b1= k0*0 时为带通滤波器; 4、b0=k0 , b1=0,b2= k002时为带阻滤波器;为了保证源滤波器的动态稳定性,运算放大器的闭环增益应当是比较小的,因此滤波器的输出阻抗也很低。滤波器的动态稳定性的基本要求是:传递函数的所有极点都在左半平面内,即要求阻尼系数=Q-1>0;这种有源滤波器的不足之处是Q值对元件变化的灵敏度较高,因此,Q值越高,稳定性越差,故在高Q值的滤波器中,不宜采用这类结构。即在设计这种有源滤波器时,无须考虑它的Q值对元件变化的灵敏度较低,故可工作于Q值较高的情况。从物理观点看,的数值表示了滤波器的阻尼特性;>0时系统存在阻尼,外加信

35、号通过滤波器总有一定的能量损耗,故对于任何有限的输入或内噪声所产生的输出,都一定会在有限的时间内结束,这说明系统可以稳定工作;<0时,说明系统在无外加信号时会有能量输出,产生自激振荡,系统不稳定;=0时,系统不存在阻尼,在外加信号与固有频率0相同时,也会产生不减幅的谐振,这是临界状况,Q值虽然极高,但也不稳定。对于不同的值,值越大,阻尼越大,幅频特性和相频特性变化也越缓慢;反之,值越小,阻尼越小,幅频特性曲性在固有频率0附近的凸起越明显,通带增益的波动越大,这时频带也变宽一些,相位特性曲线在0附近的变化也较陡。当=2时,滤波器的幅频特性在通带内具有最大的平坦区,但在阻带内衰减较为缓慢,选

36、择性较差,滤波器的截止频率等于固有频率,滤波器的相频特性是非线性的,故不同频率的信号通过滤波器后会有不同的相移。这种特性的滤波器成为最大平坦型滤波器又称巴特沃思滤波器。滤波器性能的滤波参数有:1、通带增益Ko 2、中心频率(或截止频率) 3、阻尼系数(或品质因数Q) 4、通带增益纹波K(dB) 5、灵敏度S,(灵敏度是指滤波器电路中元件数值的变化所引起是滤波器特性参数的变化。它是衡量滤波器性能稳定的重要指标,S越低,性能稳定性越好。)应用:基于上述滤波器的各自的特点,这里采用如下分析的二级滤波电路,就能很好的达到设计的要求,分析:a.巴特沃思滤波器的分析: 图4-5 巴特沃思滤波器电路由于本设

37、计所滤除的低频分量较低,我们认为其转折频率大约为20HZ左右,以此可得以下分析: (4-1)为使不为负 (4-2)令 ,则 从(1)中可导出 为二阶巴特沃斯低通滤波器 取,(4-3)R不能过大,否则运放会产生失调电路该电路对20HZ的衰减为2倍,对于衰减频率更高的信号效果较好。我们还可以得出上一滤波器的相频特性为: (4-4)b.第二级滤波电路分析: 图4-6 低通滤波电路该电路的理论计算分析: 给定 则 , (4-5)上述实例1低通滤波器的元件灵敏度为:, ; , ; 注意:在实际使用过程中,电容在焊接时,要保证其时间不宜过长,否则电容受热时间长,会发生内部形变使其值改变。方案论证:这里采用

38、两级滤波器,因为进入低通滤波电路的信号,它的纹波信号频率较低,我们经实验后设计第一级滤波器的最低滤除频率在20HZ左右,这样同时达到了对电压信号求平均值的运算,由上面计算,选用R1=30K,C1=0.22,使得该滤波器的转折频率低于20HZ,从而能很好地满足设计的要求。为了得到更为平滑的电压信号,在后续一级滤波电路,其中可以通过改变R5的阻值,使得R5和R7的阻值比变化,从而调整电压信号幅值大小。这里使信号的幅值不变,故取R5=R7。74.4 AD转换(一) A/D是将模拟量转换成数字量的器件。模拟量可以是电压、电流等电信号它们只有被转换成数字量才能被计算机系统所采集、分析、计算;A/D的种类

39、很多,根据转换原理可分为逐次逼近式、双积分式、并行式、V/F式等。对于逐次逼近式的A/D转换器的原理是:将一个待转换的模拟输入信号Uin和一个推测信号Ui相比较,根据推测信号大于还是小于输入信号来决定增大还是减小该推测信号,以便向模拟输入信号逼近。推测信号由D/A转换器的输出获得,当推测信号和模拟信号相等时,向D/A转换器输入的数字就是对应模拟输入量的数字量。他的推测值的算法如下:使二进制计数器中的每一位从最高位起依次置1,每接一位,都要进行测试。如果模拟输入信号Uin小于推测信号Ui那么比较器的输出是零,并且使该位清零,如果模拟输入信号大雨推测信号,那么比较器的输出是1,并且是该位保持1。不

40、管怎么样,都可以继续比较下一位,直到最末尾为止,这个时候D/A转换器的数字输入就是对应模拟输入信号的数字量。对于双积分式转换器原理是:电路先对未知的输入模拟电压Uin进行固定时间的积分,然后转为对标准电压进行反向积分,直到积分输出返回起始值,那么对标准电压积分的时间正比于模拟输入电压,输入电压大王,那么反向积分的时间长。用高频的标准时钟脉冲来测量时间T就可以得到相应的模拟电压的数字量。 衡量A/D器件性能的主要参数有:1、分辨率与量化误差:分辨率是衡量A/D转换器分辨输入模拟量最小变化程度的技术指标。A/D转换器的分辨率取决与A/D转换器的位数。量化误差是由于A/D转换器有限字长数字量对输入模

41、拟量进行的离散取样(量化)而引起的误差,其在理论上为一个单位的分辨率,即分辨率与量化误差是统一的。2、转换精度:分为绝对精度和相对精度。前者指转换器中任何数码所相对的实际模拟电压与其理想的电压之差的最小值,后者指将上述最大偏差表示为满刻度模拟电压的百分值。3、转换速率:A/D转换器在每秒钟内所能完成的转换的次数。4、满刻度范围满刻度范围是指A/D转换器所允许最大的输入电压范围。5、与PC机的接口能力。 要注意A/D转换器与维微型机的接口原则1、数据输出接口:芯片数据输出接口方式取决于芯片内部数据输出的硬件结构。输出要求三态。2、ADC芯片与微型机接口中的时序配合:时序配合主要有五点;而且应该熟

42、练会读作为电子学语言的时序图,这对软件编程亦非常重要。 3、ADC数据输入方式:微机在ADC转换结束后,读取转换数据的方式有延时等待、查询、中断及DMA方式。应用:由于测量电压要求精度在±0.2V,根据计算,选用10位以上的AD,还考虑到积分式A/DC的抗干扰能力强等特点,在这里采用MC14433。下面是该芯片的介绍:(二) 积分式MC14433芯片MC14433采用CMOS工艺、具有零漂报偿的3位半单片双积分式A/D转换器,该电路只需外加二个电容和二个电阻就能实现A/D转换功能。其主要技术指标为:转换速率(310)HZ,转换精度1LSB模拟输入电压范围0V±1.999V或

43、0V±199.9mV,输入阻抗大于100M。MC14433采用24脚双列直插封装,其结构框与引脚图如图4-7所示: 图4-7 MC14433的结构框图与引脚图各引脚定义如下: VDD,VEE,VSS:VDD、VEE 为正、负电源端, VSS为公共接地端,电压范围为±4.5V±8V,一般取±5V。为提高电源的抗干扰能力,正负电源端应分别与VSS端跨接去耦电容。Ui:被测信号输入端,其对应地端为UAG(模拟地)UR:基准电压输入端,其对应地端为UAG。基准电压+2V或+200mV,可由MC1403通过分压提供 CLKI,CLKO:时钟端,用于外接钟频电阻RC

44、。当RC=470k时fclk66kHZ;RC=200k时,fclk140kHZR1,C1,R1/C1:外接积分电阻R1、积分电容C1端。R1、C1的估算公式如下:式中Uimax-输入电压满量程值;U- 积分电容上允许充电电压的最大幅度,其值为U=UDD-Uimax-0.5V;T1- 积分时间,其值为T=4000* 。按上式计算,若C1=0。1µF,UDD=5V, =66kHZ,则当Uxmax=2V时,R1=480k 。C01,C02:外接失调补偿电压端。补偿电容一般取为0.1µF。EOC:转换结束标志端。当向该端输入一正脉冲时,则当前转换周期的转换结果将送入的输出锁存器,否

45、则输出锁存器将保留原来数据。若DU与EOC连接,则每一次转换结果都将被自动送出。:溢出标志端;平时为高电平,当Ui>UR时,输出低电平;QO,Q1,Q2,Q3:A/D转换结果输出端。采用BCD码,其中Q0为LSB,Q3为MSB。DS1,DS2,DS3,DS4:多路调制选通脉冲信号输出端。MC14433转换结果以BCD码形式,分时按千、百、十、个位由Q0Q3端送去,相应的位选通信号由DS1DS4提供。每个选通脉冲宽度为18个时钟周期、相邻选通脉冲之间的间隔为2个时钟脉冲。其输出时序如图4-8所示: 图4-8 MC14433输出时序图在DS2,DS3,DS4选通期间,Q0Q1分时输出三个完整

46、的BCD码数,分别代表百位、十位、个位信息。但在DS1选通期间,输出端Q3Q0除表示千位信息外,还有超欠量程和极性标志信号,具体的规定为:Q3代表千位数,低电平“0”代表千位为1,高电平“1”代表千位为0;Q2代表被测电压的极性,“1”代表正,“0”代表负;Q0为超或欠量程,其中Q0为1时为欠量程,Q0为0时为超量程。一个完整的A/D转换过程可分为6个阶段, 阶段1为模拟调零阶段,在这个阶段占用时间为4000个时钟脉冲。阶段2为数字调零阶段。阶段3为第二次模拟调零阶段,其过程同阶段1。阶段4为对被测电压Ui积分阶段。本阶段占用4000个时钟脉冲。阶段5为对比较电压的失调电压进行补偿。阶段6为对

47、基准电压UR积分阶段,以上分析可以看出,MC14433一次A/D转换的时间约需要16400个时钟脉冲,若时钟脉冲的频率f0=66kHZ,则一次转换所需的时间为: T=0.25s。MC14433与单片机的接口:由于MC14433的输出不带有三态输出锁存器,因此MC14433的输出端必须通过具有三态输出的并行I/O端口才能与微机数据总线相连。对于8031应用系统来说,MC14433的Q0Q3,DS1DS4可以通过扩展I/O口与之相连接,但也可以直接接到8031的P1口。如图4-9所示:图4-9 8031与MC14433的接口电路上图为MC14433与8031接口电路简图。图中的MC14433所有外

48、部连接器件都已按照规定要求接好,转换的输出端直接连至8031的P1口,EOC信号反相后,作为中断申请信号送至8031的非INT1端。由于EOC信号与DU相连,所以每次转换完毕都有相应的BCD码及相应的选通信号出现在Q0Q3及DS1DS4端。设置外部中断为边沿触发方式,转换结果存缓冲器2EH与2FH中,存储格式为: D7 D6 D5 D4 D3 D2 D1 D02EH 符号 千位 百 位 2FH 十 位 个 位MC14433A/D转换器精度偏低,如果需要更高精度的测量,可以选用高精度的四位半的ICL7135A/D转换芯片、五位半的A/D转换器芯片和带微处理器的HI7159A/D转换器芯片等。其中

49、AD7555A/D和HI7159A/D转换精度优于0.005%,分辨力相当于17位二进制A/D转换器,而价格并不高,这就为开发高精度的电压测量仪表创造了良好的条件。94.5单片机及数码显示数字显示原理: 数字化显示是智能仪器中不可缺少的部分,它使显示更加直观。本设计采用七段LED作为显示器,它是单片机应用最简单,最方便的输出设备。它有共阳,共阴两种。有两种显示方式:即静态和动态。静态显示占用系统资源较多,且硬件连接比较复杂。所以本系统采用动态显示。所谓动态显示,就是让各位数码管按照一定的顺序轮流地发光显示。与静态驱动显示相比,动态扫描显示具有很多的优点:能显著降低显示器的功耗,在许多情况下还能

50、大大减少显示器的外部引线,只要位选通信号的频率足够高,由于人眼的视觉暂留现象,就观察不到闪烁的现象。目前,动态扫描显示技术已被广泛应用于微型计算机、智能仪器、新型数字仪表和智能显示屏中。动态显示适合多位显示,硬件电路大大简化,成本降低。它将所有段选位的段选码连接在一个I/O口上,而共阴(共阳)极分别由相应的I/O口线控制,其中一个口控制段选码,一个口控制位选码。因为在一瞬间,八位LED只能显示相同的字符,所以要显示不同的字符,则必须扫描显示。这种方式是利用人的视觉上的暂留效果,将要显示的字符在瞬间显示,并延时,轮流把不同的段选码送入显示器,与此同时,相应的位选码也送入显示器则实现了LED的动态

51、显示。电路图如图4-10所示:图4-10 数码管显示电路图数码显示部分程序:void led_display(unsigned char i, unsigned char num) P2=0xff; /准备显示,清位选P1=0xff; /清p1口的显示if(num>=0&&num<=15) P1=Tabnum; /输出段码到P1if(i>0&&i<=4) /数码管的个数4switch(i) /选择显示位,低电平有效case 1:P2=0xfe; /1110 -第一位显示 break;case 2:P2=0xfd; /1101 -第二位显示

52、 break;case 3:P2=0xfb; /1011 -第三位显示 break;case 4:P2=0xf7; /0111 -第四位显示 break;delay(DELAY_VALUE);/*延时程序*void delay(short i) int j=0;int k=0;k=i*DELAY_VALUE;while(j<k) j+;第五章 软件及其程序流程部分经硬件电路得到数字量后,要求对所获得的数值进行处理,这就要进行软件部分的分析,依据接口编程、控制等等。本次设计的基本软件编程有以下几部分。5.1 数据采集部分的程序流程单片机与ADC的硬件电路接口如图4-9,该硬件电路接口设置单

53、片机的外部中断为边沿触发方式,单片机的P1口与ADC数据相连,中断触发后,单片机开始接受数据量,由于MC14433的输出数据特性,分四次读完,可编程控制读数并储存在指定的存储器中。其流程图如图5-1所示:超欠量程?读百位存于2EH低位读P1口返回读个位存于2FH低位超欠量程?读十位存于2FH高位读P1口DS4选通?置标志位读P1口读千位存于2EH高位DS1选通?超欠量程?DS3选通?超欠量程?DS2选通?读P1口读数子程序中断触发开始CC图5-1数据采集部分流程图5.2数码显示部分的程序流程显示器为四位LED数码管,采用动态扫描的方式,单片机的P0、P2分别送数码的段码和位选通码,P0口与数码管的八位数据线相接,送出取表后的断码值,P2与数码管的位选通端相连。采用共阳极的话,四位位选通码设为1000,依次左移送出并延时则达到动态显示的效果。 这里我设置R1为小数信息寄存单元,R2:显示位数等,其流程图如图5-2所示:开始取数查表取段码R1的D7为1?DD7SHIFUO R1左移一位点亮小数点送P0口位选码送P2口R2右移延时10ms显

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论