微机原理及应用(吴宁) 习题答案chapter7_第1页
微机原理及应用(吴宁) 习题答案chapter7_第2页
微机原理及应用(吴宁) 习题答案chapter7_第3页
微机原理及应用(吴宁) 习题答案chapter7_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、7-1选用方式0,时钟频率2mhz,脉冲周期要求为1ms,所以计数值 n=2×106/1×103200007d0h 初始化程序如下:movdx, portc ;控制端口moval, 00110100b ;计数器0,方式2,二进制计数,送16位计数值outdx, almovdx, port0 ;计数器0 moval, 0d0h ;计数值的低8位outdx, almoval, 07h ;计数值的高8位outdx, al若要求8253的地址为8083h,则a15 a14 a13 a12 a11 a10 a9 a8 a7 a6 a5 a4 a3 a2 a1 a0 0 0 0 0 0

2、 0 0 0 1 0 0 0 0 0 0 0g1 y0g2ag2bcba74ls138+7-5选择通道0产生10ms的定时信号(方式2),通道1产生方波(方式3),方波的频率的改变通过在中断服务程序中修改计数值实现。时钟频率2mhz,所以通道0计数初值 n2×106/1×102 200004e20h。通道1的计数值分别为4, 10, 20, 40, 100, 200, 400, 1000, 2000.放在一个字表中 table: dw 4, 10, 8253初始化程序:mov dx, 2c3hmov al, 00110100b ;通道0,方式2outdx, almovdx,

3、 2c0hmoval, 20houtdx, almoval, 4ehoutdx, al movdx, 2c3hmoval, 01110110b ;通道1,方式3outdx, almovdx, 2c1hmovbx,0movax, tablebx ;取计数值(表中第一个值)outdx, almoval, ahoutdx, al中断服务子程序(执行部分):incbxcmp bx, 8 ;已到计数值的最后一个,下次又从第一个开始jnznext1movbx, 0next1:movax, tablebx movdx, 2c1houtdx, al moval, ah outdx, al7-98255的c口的

4、上半部分用于输入(pc7接微型导线),下半部分用于输出(pc0接喇叭)。初始化:movdx, 8255ctl moval, 1×××1××0b ;仅对c口的输入输出设置outdx, al当微型导线被碰断后,进入中断,中断服务程序(执行部分)如下:movdx, 8255c movcx, 20next:moval, 0×××0000b ;对pc0复位outdx, alcalldelaymoval, 0×××0001b ;对pc0置位outdx, alloopnext 7-118255的a口

5、输出,b口输入,均工作在方式0。初始化程序如下:movdx, 8255ctlmoval, 1000×01×boutdx, almovdx, 8255amoval, 0ffh ;a口输出1,则无电流outdx, al检测控制程序如下:movdx, 8255binal, dxnotal ;开关闭合,b口线为1,a口相应线应送0movdx, 8255aoutdx, al7-17硬件连接图同p329图7-78(a),实现对8个模拟通道进行一次采集,结果存放在数组buf中。mov bx,offset bufmov cx,08hmov ah,00hlp1: mov al,ah ;ah中

6、的低三位表示通道号 mov dx,200h out dx,al ;选择通道并启动转换 mov dx, 202hwait:in al,dx ;查询等待转换结束 test al,01hjz wait mov dx,201h ;读取转换结果 in al,dx mov bx,al ;存入内存 inc bx inc ah loop lp1 7-18硬件连接图见p321图7-63(a)mov dx,280h mov al,00hlp1:out dx,al inc al cmp al,80h ;电压最大值为2.5v jnz lp1lp2:out dx,al dec al cmp al,00h jnz lp2 jmp lp1;一个周期结束,重新开始还可使0832产生正向锯齿波(起始值00h),负向锯齿波(起始值ffh),方波等。波形周期的调整:改变每次dac的间隔,如在out dx,al转换后,加入一些nop指令,或调用延

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论