重庆大学光电学院EDA复习试卷_第1页
重庆大学光电学院EDA复习试卷_第2页
重庆大学光电学院EDA复习试卷_第3页
重庆大学光电学院EDA复习试卷_第4页
重庆大学光电学院EDA复习试卷_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 EDA试卷一、单项选择题1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入_综合适配_编程下载硬件测试。A. 功能仿真B. 时序仿真C. 逻辑综合D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_。A. 软IPB. 固IPC. 硬IPD. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_是错误的。A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文

2、件。C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_实现其逻辑功能。A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_。A. 器件外部特性B. 器件的内部功能 C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减

3、少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中_不属于面积优化。A. 流水线设计B. 资源共享C. 逻辑优化D. 串行化8. 进程中的信号赋值语句,其信号更新是_。A. 立即完成B. 在进程的最后完成C. 按顺序完成D. 都不对9. 不完整的IF语句,其综合结果可实现_。A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路10. 状态机编码方式中,其中_占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。A. 一位热码编码B. 顺序编码C. 状态位直接输出型编码D. 格雷码编码二、VHDL程序填空1. 下面程序是1位十进制计数器的

4、VHDL描述,试补充完整。LIBRARY IEEE;USE IEEE._.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ;END CNT10;ARCHITECTURE bhv OF _ ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (CLK) _IF _ THEN- 边沿检测IF Q1 10 THENQ1 0);- 置零ELSEQ1 = Q1 +

5、 1 ;- 加1END IF;END IF;END PROCESS ;_END bhv;2. 下面是一个多路选择器的VHDL描述,试补充完整。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bmux ISPORT (sel : _ STD_LOGIC;A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y: _ STD_LOGIC_VECTOR(_ DOWNTO 0) ;END bmux;ARCHITECTURE bhv OF bmux ISBEGINy = A when sel = 1 _ _;END bhv;三、VH

6、DL程序改错仔细阅读下列程序,回答问题LIBRARY IEEE;- 1USE IEEE.STD_LOGIC_1164.ALL;- 2ENTITY LED7SEG IS- 3PORT (A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);- 4CLK : IN STD_LOGIC;- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 6END LED7SEG;- 7ARCHITECTURE one OF LED7SEG IS- 8SIGNAL TMP : STD_LOGIC;- 9BEGIN- 10SYNC : PROCESS(CLK,

7、A)- 11BEGIN- 12IF CLKEVENT AND CLK = 1 THEN- 13TMP LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S = 1101111;- 29END CASE;- 30END PROCESS;- 31END one;- 321. 在程序中存在两处错误,试指出,并说明理由:2. 修改相应行的程序:错误1行号: 程序改为:错误2行号: 程序改为:四、阅读下列VHDL程序,画出原理图(RTL级)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY HA

8、D ISPORT (a : IN STD_LOGIC;b : IN STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC);END ENTITY HAD;ARCHITECTURE fh1 OF HAD ISBEGINc = NOT(a NAND b);d 10 THENQ1 0);- 置零ELSEQ1 = Q1 + 1 ;- 加1END IF;END IF;END PROCESS ;Q = Q1;END bhv;2. 下面是一个多路选择器的VHDL描述,试补充完整。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTIT

9、Y bmux ISPORT (sel : IN STD_LOGIC;A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ;END bmux;ARCHITECTURE bhv OF bmux ISBEGINy = A when sel = 1 ELSE B;END bhv;三、VHDL程序改错仔细阅读下列程序,回答问题LIBRARY IEEE;- 1USE IEEE.STD_LOGIC_1164.ALL;- 2ENTITY LED7SEG IS- 3PORT (A : IN STD_LOGIC_VE

10、CTOR(3 DOWNTO 0);- 4CLK : IN STD_LOGIC;- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 6END LED7SEG;- 7ARCHITECTURE one OF LED7SEG IS- 8SIGNAL TMP : STD_LOGIC;- 9BEGIN- 10SYNC : PROCESS(CLK, A)- 11BEGIN- 12IF CLKEVENT AND CLK = 1 THEN- 13TMP LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S

11、LED7S = 0000000;四、阅读下列VHDL程序,画出原理图(RTL级)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY HAD ISPORT (a : IN STD_LOGIC;b : IN STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC);END ENTITY HAD;ARCHITECTURE fh1 OF HAD ISBEGINc = NOT(a NAND b);d 0);ELSIF CLK = 1 AND CLKEVENT THENIF LOAD = 1 THEN Q1 := DATA;E

12、LSEIF EN = 1 THENQ1 := Q1 + 1;END IF;END IF; END IF;Q = Q1;END PROCESS;END ONE;2. 看下面原理图,写出相应VHDL描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY TRI_STATE ISPORT (E, A : IN STD_LOGIC;Y : INOUT STD_LOGIC;B : OUT STD_LOGIC);END TRI_STATE;ARCHITECTURE BEHAV OF TRI_STATE ISBEGINPROCESS (E, A, Y)BEGINI

13、F E = 0 THENB = Y;Y = Z;ELSEB = Z;Y = A;END IF;END PROCESS;END BEHAV;六、综合题下图是一个A/D采集系统的部分,要求设计其中的FPGA采集控制模块,该模块由三个部分构成:控制器(Control)、地址计数器(addrcnt)、内嵌双口RAM(adram)。控制器(control)是一个状态机,完成AD574的控制,和adram的写入操作。Adram是一个LPM_RAM_DP单元,在wren为1时允许写入数据。试分别回答问题下面列出了AD574的控制方式和控制时序图AD574逻辑控制真值表(X表示任意)CECSRCK12_8A0

14、工 作 状 态0XXXX禁止X1XXX禁止100X0启动12位转换100X1启动8位转换1011X12位并行输出有效10100高8位并行输出有效10101低4位加上尾随4个0有效AD574工作时序:1. 要求AD574工作在12位转换模式,K12_8、A0在control中如何设置K12_8为1,A0为02. 试画出control的状态机的状态图类似书上图8-43. 对地址计数器模块进行VHDL描述输入端口:clkinc 计数脉冲 cntclr计数器清零输出端口:rdaddrRAM读出地址,位宽10位library ieee;use ieee.std_logic_1164.all;use ie

15、ee.std_logic_unsigned.all;entity addr_cnt isport (clkinc, cntclr : in std_logic;wraddr : out std_logic_vector (9 downto 0) );end addr_cnt;architecture one of addr_cnt issignal tmp : std_logic_vector (9 downto 0);beginprocess (clkinc, cntclr)beginif clkincevent and clkinc = 1 thenif cntclr = 1 thentm

16、p 0);elsetmp = tmp + 1;end if;end if;end process;wraddr = tmp;end one;4. 根据状态图,试对control进行VHDL描述library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity control isport (addata : in std_logic_vector (11 downto 0);status, clk : in std_logic;cs, ce, a0, rc, k12_8, clkinc : out st

17、d_logic;rddata : out std_logic_vector (11 downto 0) );end control;architecture behav of control istype con_st is (s0, s1, s2, s3, s4);signal cst, nst : con_st;signal lock : std_logic;signal reg12 : std_logic_vector (11 downto 0);begina0 = 0;k12_8 = 1;ce = 1;cs = 0;REGP : process (clk)beginif clkeven

18、t and clk = 1 thencst rc = 1; lock = 0; nst rc = 0; lock = 0; nst if status = 1 then nst = s3; end if;rc = 1; lock rc = 1; lock = 1; nst rc = 1; lock = 0; nst nst = s0;end case;end process;LOCKP : process (lock)beginif lock = 1 and lockevent thenreg12 = addata;end if;end process;rddata = reg12;clkinc addata, s

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论