版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、电子技术课程设计出租车计费器的设计学院:电子信息工程学院班级:姓名:学号:指导教师:日期:2009年12月08日出租车计费器设计一、设计任务与要求设计并制作一台出租车计费系统,要求如下:(1) 实现计费功能,计费标准为:按行程里程收费,起步价为7. 00元,在 车行3公里后再按2. 2元/公里计费,当计费器达到或超过 20元时, 每公里加收50%的车费。(2) 实现模拟功能:能模拟汽车启动、停止、暂停、加速的状态(3) 设计动态扫描电路,将车费和里程显示出来,各有两位小数。二、总体框图(1) 系统总体结构片选信号产生模块输入信号模块数据转换模块动态描匹译艸数码管显示樟数码管控制电路出租车计费器
2、的组成如上图所示,各部分主要功能包括输入信号模块对车轮 传感器传送的脉冲信号进行计数,并以高低脉冲模拟出租汽车启动,停止,暂停, 加速按钮,具有输入信号作用;数据转换器模块将计费模块输出的车费和路程转 换成4位的十进制数据;译码/动态扫描模块将路程与费用的数值译码后用动态 扫描的方式驱动数码管;数码管显示模块将公里数和计费金额均用 4位LED数码 管显示。(2)其流程图如下图所示:开始结束(3) 各模块设计功能及设计思路信号输入模块该模块主要实现计费功能和现场模拟功能。 计费标准为:按行驶里程计费, 起步价为7.00元, 并在车行3 km后按2.20元/ km计费,当计费器达到或超过 20元时
3、,每公里加收50%的车费,车停止不计费。并以高低脉冲模拟出租汽 车启动、停止、暂停、加速按钮,具有输入信号的作用。数据转换模块数据转换模块是一个模为10的加法计数器,可将计费模块输出的车费和 路程转换成4位的十进制数。显示模块显示模块是由七段LED数码管译码和动态扫描显示两部分组成。 采用的是共阴极七段数码管,根据十六进制数和七段显示段码表的对应关系,其中要求路程和车费都要用2位小数点来表示,所以须设置一个控制小数点的变 量,即程序中的dp。这段程序所示的是在数码管的第二、第六个后面显示 小数点,实现了路程和车费都用2位小数点表示的功能。数码管控制及译码 显示模块将十进制的输入信号用七段数码管
4、显示,由七段发光二极管组成数码显示器,利用字段的不同组合。可分别显示 09十个数字。片选信号产生模块片选信号产生模块是对数码管进行片选并让其能够按照要求显示数据的模三、选择器件设计开发软件:Quartus 116.0EDA实验箱:EL教学实验箱四、功能模块(1)jifei 模块此模块为计费模块(即总体结构中的信号输入模块),实现计费功能,计 费标准为:按行驶里程计费,起步价为 7.00元,并在车行3公里后按2.20元/km 计费,当计数器达到或超过20元时,每公里加收50%勺车费,即按3.30元/km计费。 CLK START STOP PAUSE JS为输入信号,分别代表汽车的起动、停止、暂
5、停 和加速,CLK为扫描时钟,硬件实现时加入时钟信号,另有两个输出,即CHEFEI和LUC分别代表车费和路程,当车处于行驶状态时,此模块会自动记录下路程 与车费状况并作为转换模块的输入。jifei模块源程序library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity jfmk isport(clk,start,stop,pause,js:in std_logic;chefei,luc:out in teger range 0 to 8000);end jfmk;architecture on
6、e of jfmk isbeg inprocess(clk,start,stop,pause,js)variable a,b:stdo gic;variable aa:i nteger range 0 to 100;variable chf,lc:i nteger range 0 to 8000;variable nu m:i nteger range 0 to 9;beg inif(clkeve nt and clk=1)the nif(stop=0) thenchf:=0;num:=0;b:=1;aa:=0;lc:=0;elsif(start=0) the nb:=0;chf:=700;l
7、c:=O;elsif(start=1 and js=1 and pause=1) the nif(b=O)the nnum:=nu m+1;end if;if(num=9)the nlc:=lc+5; num:=O; aa:=aa+5;end if;elsif(start=1 and js=O and pause=1)the nlc:=lc+1; aa:=aa+1;end if;if(aa=100)the na:=1; aa:=O;elsea:=O;end if;if(lc3OO)the nn ull;elsif(chf=2000 and a=1)thenchf:=chf+330;end if
8、;end if;chefei=chf;luc=100则a:=1 ,aa:=0,否则a:=O, 如果 chf2000 and a=1则chf:=chf+330;以上各状态表示汽车在三公里以外车费在二十元以内的状态、车 费在二十元以外的状态。结束进程,结束结构体。jifei模块的时序仿真图:H-uneVt刃 p7Q 9 hi90 9 “ imp kthi tse.p kt no., hi i?o.,o 皿 aio.p 昭 2如卩也 2別 p 叭 ffro o m uw p 站IB1Ei1TQD比111111111口訓|册111 皿 iiuimiuiiiiwuiiiiuin 皿 uiHMiiuiiF
9、n 册 iiuiMiiimuuimniiiiiwiiwni川 uimnuiniiJ =Q Iml-AUEAxAarlxAopSula炮ZaD.a 血 300. D as 320.0 u 340. D as 36D41 a 3BG. D as 4J0D.0 讴 船0. D as UD.O 仙 璋ED. D as 赧.口 ns 50D.O ns 52A.0 rs iii1i11pSH ch&feaI7COelkiiiiiiiMiiiiiiiiMniiiiiiiMliiiinnwiiMiiimimiiiiiiiiimiiiiMiiiiiiim/iininiiiiiiiiiMnii 恻 oMminii
10、Ji111 lucI in、:】4刍fl別 幻巧丫 taoYi &5 :t: LioXiTsyaso束出为 乳X旳 joo羽佰 也lo 泊 5X220血血 篦溶如 淮馮Yesd 丫辱 血6。焰醉羽td黠巧x2boxtm-tst归邂飞 酮570. .9 bt&10.,0 m650. ,0 ri吕的.卩剧丁30申:“TTO.p 如16回 chvftaY90Kiiqo-imwuiimniiwni 曲 iiwiiMiiuimiuuim 皿 iimiNiuNni 皿 iimiumiiuimiiNiinmiiuMiuiiiunS luc珑託5 华90、:羽了 :;3DQ X305畑换25驚3。彷35鼻洌咲
11、45 )(350 丫轴):3EO ;20 熬PkT15titurt时序仿真图分析:图中的stop为汽车停止输入端,上升沿有效;start为汽车启动输入端,上 升沿有效;pause为汽车暂停输入端,上升沿有效;js为汽车加速输入端,上升 沿有效。CLK为时钟源信号;chefei为汽车车费输出端,luc为汽车路程输出端。 由图可知:当stop,Start,pause,js全为高电平时路程按5的速度增加,车 费为700.当路程增加到300时,车费变为920,以后路程每增加100,车费增加 220。模块生成图:n*mit!11elkchetei(12.Jstartlu&12.0i1ft oppause
12、! I jjs| in朮(2)x模块此模块为数据转换模块,功能是将计费模块产生的车费与路程的模拟量转换 成数字量并输出,它是一个模为10的加法计数器,可将计费模块输出的车费和 路程转换成4位的十进制数。其中DACLI为时钟信号,ASCOREBSCOR连接计 费模块的CHEFE和 LUC输出为2个4位的十进制数,可以分别表示路程和车 费情况。当车运行于不同状况时,此模块会将不同的车费与路程状况转换为数字 量并输出。x模块的源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;en tity
13、x isport(daclk:i n stdo gic;ascore,bscore:i n in teger range 0 to 8000;age,ashi,abai,aqia n,bge,bshi,bbai,bqia n:out stdo gic_vector(3 dow nto 0); end x;architecture rt1 of x isbegi nprocess(daclk,ascore)variable comb1:i nteger range 0 to 8000;variable comb1a,comb1b,comb1c,comb1d:std_logic_vector(3
14、dow nto 0);begi nif (daclkeve nt and daclk=1)the nif(comb1ascore)the nif(comb1a=9 and comb1b=9 and comb1c=9)the n comb1a:=0000;comb1b:=0000;comb1c:=0000; comb1d:=comb1d+1;comb1:=comb1+1;elsif(comb1a=9 and comb1b=9)the n comb1a:=0000; comb1b:=0000;comb1:=comb1+1; comb1c:=comb1c+1;elsif(comb1a=9)the n
15、 comb1a:=0000;comb1b:=comb1b+1; comb1:=comb1+1;elsecomb1a:=comb1a+1; comb1:=comb1+1;end if;elseashi=comb1b;age=comb1a;abai=comb1c;aqia n=comb1d;comb1:=0;comb1a:=0000;comb1b:=0000;comb1c:=0000;comb1d:=0000;end if;end if;end process;process(daclk,bscore)variable comb2:i nteger range 0 to 8000;variable
16、 comb2a,comb2b,comb2c,comb2d:stdo gic_vector(3 dow nto 0); begi nif(daclkeve nt and daclk=1)the nif(comb2bscore)the nif(comb2a=9 and comb2b=9 and comb2c=9)the ncomb2a:=0000;comb2b:=0000;comb2c:=0000;comb2d:=comb2d+1; comb2:=comb2+1;elsif(comb2a=9 and comb2b=9)the ncomb2a:=0000;comb2b:=0000;comb2:=co
17、mb2+1;comb2c:=comb2c+1;elsif(comb2a=9)the ncomb2a:=0000;comb2b:=comb2b+1; comb2:=comb2+1;elsecomb2a:=comb2a+1; comb2:=comb2+1;end if;elsebshi=comb2b;bge=comb2a;bbai=comb2c;bqia n1L-JS tlhhB0000 応町BCOMLjBCOML+l kSCQTtB OOTCCKCiXiDlJfDD00000000301XtKTOOOQQOOOl 10xooratrowooMgXOOOfflTOWQLCCOKocamocBiOT
18、JKncooiMfliDILIK10MKIWIXooooL000】y, OQLQFfl briiiBDCD0O讯3HDEXDS bqLAfiElLiJJJB OOOCCCLijjjX QMB000(M X OOWOODOllOOCOMmOOLOlO戈OCOOOOWOLDLIIXdiidJc:irumjmrmrmnnmmnnmiTuumjuumjmTuumjiruuumjmrmnnrLrmnnnmmnnjVi射g m】OQ.p ai110 0 nsIgp:ai血0 HSL40Na亡IB回县“g1000J1001X0000c00010010S MBMOOzzxzani*l 心BGOOD回 a申-a
19、jiECDODSB CUCCjcojmi)CC01100D0303CIDX0COJM00101Dy.COJOOBOODaOLXODOOCOJCOILODTaCCOOODKILaOLB biBranXW10DGOlCOX0 L0叵| b-xhiE,LJIH MilH*| bqj taiHOOClD回 13-EC4FAI: DOKiconDOiXoooooDoatniDOxoctncoDooiiiaLyDOODODOODnLOXOOOODOOOQlLrL(OOOOOODOlCOnDdkclkjuuumnnnnjTrLrLnjTrLruTrLrLnnjuuuuuuuuuuuuuuuinnnnnnnn
20、nnjTrLrLnnj时序仿真图的分析转换模块的时序仿真图如下图所示:可见能将路程和车费转 换为4位的十进制数,便于8位数码管显示。由图可知:当ascore , bscore为13位二进制数,当ascore为0-9时age从0到9变化,当ascore为10时age 等于0,ashi等于1。当bscore为0-9时bge从0到9变化,当bscore为10 时bge等于0,bshi等于1。(3)sel模块此模块为片选信号产生模块,功能是选择八段数码管按照设计要求进行正确 的显示。其具体的对应关系如下表所示:接口序号数码管SEL2SEL1SEL0状态111第1位亮110第2位亮101第3位亮100第
21、4位亮011第5位亮010第6位亮001第7位亮0XX第8位亮在该模块中CLK为时钟信号,A2.O为片选输出,用于选择数码管,连接译码 显示模块的片选端C2.O。se模块的源程序如下:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity sel isport(clk:in stdogic;a:out std_logic_vector(2 dow nto 0);end sel;architecture rt1 of sel isbeg inprocess(clk)variable b:
22、std_logic_vector(2 dow nto 0);beg inif(clkevent and clk=1)thenif(b=111)the n b:=000;elseb:=b+1;end if;end if;ad=a1; dpd=a2; dpd=a3; dpd=a4; dpd=b1; dpd=b2; dpd=b3; dpd=b4; dpn ull; end case;end process;end rtl;生成模块:j xxxlnc2 .0dpF31(3 0 dp J卫用-33(3 0fHPbip 012 P 0b33jq$b4p s0=i inst&?xxxl模块的时序仿真图:Wi
23、t.*V-iIxlc is ?e)P=0D asVU3. 0 its60.0 nsSO. D ns100.0 ns (II2D.0 bxHC16-71馬M*UDO f ODWcioao 厂5E6Ttmi 厂Tcido 厂万而IX 也win、iLtro X iidiaB QCB 0CB QCB OL 01B dlB OLB 1CB VB OC B IHOOMX皿口工一口山,0】WX】MMfLOOL*1030X 而MXhd、wmXDimX5H5Xuii】)Clwb、】BiXT meXildo)f山M帥moi山厂im】工iooi Y沖山 win尊noo厂泊】nn帥oome X OHl 试 WQQ X
24、 IO(H Y 1.Q1Q 丫 Wil * 00 1W1 114 mu :f iDOD 厂 Kim ;rTmD、:f ilio 工山皿 :iFom X ooldj loco : 1001 厂me XRhl XIT5BXliid、ilii 工(kco X oom :厂刁山 厂耐T WDI ; IDE *、】0L1 X L】dci XnaiX 】1旳 工 L】M X CIDM J: (HD】X DCHO 址 口0】l J: mCOlft ?40.9 m 50 9 ns 60 p nx Tfl. as . f E.q z LOO 0 t,s lim p t.s 】创.卩晦 130.卩祜 140.0
25、m L50 0 ns 150,0 r.s 170.fl ns lfflJ.,0 i田田田LdQBQBBIMrrD:L就1XddiXIid)LB )LLCUiilX10dXIDXLLCdlldTXiiLDXCtbL01X01DLTi血X01):山n)(Ltl!XiOiDTQ11X11gTLlDlXlllOXiiILinX j X00lDDOiiX01DOX0101yUD0:叽LCCOX10&LXIDX10叮XLLCOXLLOEX11L0xmX g XCO0LB0L01LDXDILLX3000iODlLOLO )【LOliXunoXW110 X llLI X M22 XOWLx0010X1OLOC
26、iJ卩IB0L;dliLX.JOCOJC1(MUx.iOlO)MLi )(LLIJL110LJLnoX JL11 X w X K2LJCDOLOJLM11x0100xOLOLJtlB0LjQUQX1Q0LXWWion)IL 1LLOIX11WXnilX m X w01 X Kito XDOLL0100X0101XQLLO)qv=0111111;whe n0001=qv=0000110;whe n0010=qv=1011011;whe n0011=qqv=1100110;whe n0101=qv=1101101;whe n0110=qqqq=99999 thencn t:=0;tmp:=not
27、tmp;elsecn t:=c nt+1;end if;end if;clkout=tmp;end process;end one;div生成模块图:div-cIelk clkomt-t7”-”div模块时序仿真波形:div将时钟信号分频,使频率减小。(7)decode3_8 模块sel 通过decode3_8模块选择数码管 decode3_8模块源程序:LIBRARY ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;ENTITY decode3_8 ISPORT(SEL:IN stdo gic_vector
28、(2 dow nto 0);Q:OUT stdogic_vector(7 downto 0);END decode3_8;ARCHITECTURE a OF decode3_8 ISBEGINQ=11111110whe n sel=0 else11111101when sel=1 else11111011whe n sel=2 else11110111whe n sel=3 else11101111whe n sel=4 else11011111whe n sel=5 else10111111whe n sel=6 else01111111whe n sel=7 else11111111;EN
29、D a;decode3_8生成模块图:r I dC4dl3 8. I二 t .” i?1 馬EL|207.al T instdecode3_8模块时序仿真波形图:liwiie:#4盘 IPBE】PE10. ns20 Q 皿MX 9 PSW. ns50 y ntW f ps7Q. 皿30 q m9Q耳PS1卸0a q S SELB i IIIE ima x mmolY muon X Jiiiam X unoiiii X lioiini X loiiuii X oiiimi X iiiiino谆(000 X 001X dw X on Xioa Xioi X no x in X aao1II1dec
30、ode3_8模块选择数码管,当sel信号从0-7变化时,依次选择1-8八个 数码管。五、总体设计电路图整体工作情况:(1) 模块连接:计费模块的 elk、start、stop、pause和js 端连接输入,chefei12.O 和 luc12.O连接转换模块的 ascore12.0与 bscore12.0;转换模块的daclk端连接输入,age3.0至bqian3.0连接动态扫描/译码/ 数码管显示模块的a13.0至b43.0;动态扫描/译码/数码管显示模块的C2.0连接片选输出SEL2.0 , DP端连接 输出DP, D3.0连接数码管控制模块的D3.0;片选信号产生模块的CLK端与输入信号
31、相连,并连接转换模块的DACLI端,A2.0 连接片选输出SEL2.0,并与动态扫描/译码/数码管显示模块的C2.0相连; 数码管控制模块的D3.0端与动态扫描/译码/数码管显示模块的D3.0端相 连,Q6.0端连接八段数码管输出Q6.0。(2) 硬件连接:CLK接 pin-28 输入为1hz的脉冲;daclk是实验箱上50Mhz固定晶振START STOP PAUSE JS分别连接按键开关,用于控制车的启动、停止、暂停 和加速;DP和 Q6.0分别连接八段码显示单元的a到dp;W7.0接数据线。(3) 结果分析:该电路的仿真波形图如下:clk为jifei模块时钟信号,daclk为时钟信号,
32、stop为汽车停止输入端;start为汽车启动输入端;pause为汽车暂停输入端; js为汽车加速输入端。DP表示小数点。hl斓 IB.TE1-0tlk3ir JJbx:1Ie3jLuu3 i呼m9UMrtrt1 1*5Et4p3068 i0回aS tpB 0L1B LLUU El33 iU百VMl刃3 a EGl1BorrVGAto |9 i国町亡VilnsfW 7?uko4kEdttdkJjiaaI:k kp*Ul2际2鬲VSAtllEVGADI;UhQTTIF*3才q1T5E的讪1502135tZGCE7elkd*elk1 Kfil7 ipvui-ixlu-LE 1xtfif旺鸟IqB
33、OHTfB 3ILL i*2i ivaUIVGkDE 1X Luniin30.0 2Inn i il:X I013QLL KXlOOimi而丽丫门“叽:!:! XLUOIUL/ OHIjMl. JCLmiHtry lllimDiinLljHOLL IliLMII 長MLDlHL Y HOI订:U JQmLEIVnl-na W.TfHUJLLLOllL X DLLI CfitoLifl Y0BLLJJ X OLLLL IJ133LLI.Q该电路的总体管脚分配图:clk:pi n-28;daclk:pi n-153;start:pi n-4;stop:pi n-5;pause:pi n-6;js:
34、p in-7;dp:pi n-82;q6.0:pi n-105;pi n-104;pi n-101;p in-100;pin-85;pi n-84;pi n-83;W7.0:pi n-86;pi n-87;pi n-88;pi n-93;pi n-94;pin-95;pi n-98;pi n-99;vga3.0:pi n-162;pi n-161;pi n-164;pi n-163;To1Assignee nt IMameValueEnabledOVGAE0LocationP3N 16ZYesLocationPINJ51YesVGAE2LocationPIN 164VesVGA3LocationPIN63Yes5回LocationPIN 166Yes5Lo
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- (个人)担保借贷协议书
- 建设工程施工合同审核
- 化工产品合作开发的协议书范本
- 活动邀请函范文格式
- 工程月报(格式不错)
- 天津市津南区南部片区2024年七年级上学期数学期中考试试卷【附答案】
- 云南省水富市第一中学2023-2024学年高二下学期第一次月考地理试题
- 考点11课外文言文(道理启示类寓言)-2024年中考语文一轮总复习重难点全(原卷版)
- 需求管理模块
- 工程项目管理教学大纲
- 钻杆,套管,单根吊卡说明书
- 辅助洞室综合技术交底
- 服装专业英语综合词汇
- 湖北黄石4.29氮气窒息亡人事故
- 一般企业所有者权益变动表excel模版
- 水利工程施工课程设计计算说明书
- 火灾事故现场处置方案
- 浅谈如何有效地进行工程造价管理论文.doc
- 财务顾问方案
- 一年十二月对应十二卦
- xx县人民医院护理人员面试评分表
评论
0/150
提交评论