液晶显示控制电路VHDL的实现_第1页
液晶显示控制电路VHDL的实现_第2页
液晶显示控制电路VHDL的实现_第3页
液晶显示控制电路VHDL的实现_第4页
液晶显示控制电路VHDL的实现_第5页
已阅读5页,还剩30页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、广西工学院毕业设计 液晶显示接口电路 0 广西工学院 毕业设计(论文)说明书毕业设计(论文)说明书 课题名称课题名称 液晶显示接口控制电路 系 别 信息与计算科学系 专 业 电子信息科学与技术 班 级 电科 082 学 号 200800902046 姓 名 陈 宁 指导教师 韦艳霞 2012 年 5 月 3 日 广西工学院毕业设计 液晶显示接口电路 摘 要 随着人们生活水平的提高,对显示器的要求也随之提高了。液晶作为一种新兴的 显示器,以其节电、低辐射、体积小、散热度低等优点,迅速成为最受欢迎的显示器 之一。并且它不仅能把想要知道的数据以数字形式表示出来,它甚至可以很直观方便 的以汉字与图表的

2、形式表示出来,后者的功能是一般的七段 led 数码管所不能实现的。 而且液晶显示器是纯数字设备因此与此连接的设备也是数字的,从而可以减少在数模 转换过程中的信号损失和干扰,其次不需要进行时钟频率、向量的调整。而基于 fpga 控制的液晶显示器以其快速性和稳定性的优势受到了越来越多的研究。 本设计采用的液晶的型号是 hs162-4,在理解其显示原理和引脚结构的基础上,把 该液晶分为 8 页,这样可以只用其中的一部分来进行显示。我们主要设计的是显示模 块部分的编程,为了简化设计,把该部分分为控制显示程序和字库的调用模块。字库 对于编写控制模块的程序,我们要用到 quartus ii 软件来编译和仿

3、真程序。quartus ii 软件自带的仿真器支持波形文件作为激励。 关键字:液晶 fpga quartus ii 控制 广西工学院毕业设计 液晶显示接口电路 i abstract with the improvement of peoples living standard, the requirements also will improve the display. lcd as a new kind of display, with its power- saving, low radiation, small size, heat dissipation degrees low ad

4、vantages, and quickly became one of the most popular display. and it can not only show the data in digital type, but also can show chinese characters and chart type, which can not be achieved in the seven-segment led digital tube can not be achieved. and the lcd display is a pure digital device equi

5、pment with this connection is digital, which can reduce signal loss and interference in the digital-analog conversion process, followed by the clock frequency does not require adjustment of the vector. advantage of the fpga to control the liquid crystal display with its speed and stability by a grow

6、ing body of research. this design used in lcd model is hs162-understand display principles and pin structure on the basis of the lcd is divided into eight, so that only part of it to be displayed. our main design is a part of the programming of the display module, in order to simplify the design, th

7、e part is divided into program control display and the font of the calling module. font for the preparation of the control module of the program, we use the quartus ii software to compile and simulation program. quartus ii software emulator that comes with support for the waveform file as an incenti

8、ve. key word: lcd fpga quartus ii control 广西工学院毕业设计 液晶显示接口电路 ii 目 录 1 绪 论 .1 1.1 液晶显示接口控制电路的来源和意义.1 1.2 液晶显示器的发展前景.1 2 电子设计自动化概述 .2 2.1 vhdl 简介 .2 2.1.1 vhdl 的特点 .2 2.1.2 vhdl 的设计步骤 .3 2.1.3 vhdl 的设计简述 .3 2.2 fpga 介绍.3 2.2.1 发展历史.3 2.2.2 fpga 的基本特点 .4 2.2.3 fpga 的优点 .4 2.3 quartusii 介绍.5 2.3.1quartu

9、s ii 的主要功能 .5 2.3.2 quartus ii 的设计流程 .5 3 液晶显示设计原理 .7 3.1 液晶显示器工作原理 .7 3.2 工作时序和基本指令 .8 3.2.1.工作时序.8 3.2.2 基本指令 .9 3.3 指令详解 .10 4 液晶显示接口控制电路系统设计 .14 4.1 单片机与 lcd 连接方式 .14 4.2 fpga 直接控制 lcd 接口电路的设计.14 4.3 字符的显示 .15 4.4 自定义汉字的显示 .15 4.5 lpm_rom 的初始化数据文件的设计.16 5 液晶显示接口电路的模块设计与仿真 .18 5.1 液晶模块的初始化 .18 5.

10、2 写数据模块 .18 5.3 lpm_rom 模块.19 5.4 液晶显示接口电路的顶层电路 .22 5.5 液晶显示接口电路的波形仿真.23 5.6 引脚设置 .23 总 结 .25 致 谢 .26 参考文献 .27 附 录 .28 广西工学院毕业设计 液晶显示接口电路 0 1 绪 论 随着信息科学的进步,现代电子产品的性能越来越高,复杂度越来越大,更新步 伐也越来越快,电子技术的发展进入了划时代的阶段。其中电子技术的核心便是电子 设计自动化。本课程设计是利用 vhdl 语言设计一个液晶显示控制电路,系统平台用比 max+plusii 功能更为强大的 quartusii,在本设计中液晶显示

11、模块使用液晶显示驱动 控制器,在小规模图形液晶显示模块上使用液晶显示驱动控制器组成液晶显示驱动和 控制系统是低成本,低功耗,集成化高的最好选择。 1.1 液晶显示接口控制电路的来源和意义 近年来越来越多的设备上都是用液晶进行显示的,它与传统的 crt 显示器相比最 大的优点就是耗电更量低并且体积更小。一般说来,液晶的耗电量还不到 crt 显示器 的一半,而体积还不到后者的 1/4。而相比较于 led 数码管,它可以显示的信息要更丰 富。我们都知道,led 数码管只能显示数字,这对于普通设备上的简单显示也就足够了, 但对于稍微复杂的字母汉字以及图像的显示,就完全不能和液晶显示器相比了。所以 单从

12、人机交互的方面考虑,液晶无疑是最理想的,从而液晶显示器成为了现在工业仪 表和工业控制等领域的主流显示器。 由于用普通的原理图方式来设计和管理包含上万甚至几十万、上百万个晶体管的 集成电路是十分复杂甚至不可能实现的,而这正是 fpga 芯片的优点所在。而且近几年 用综合工具把可综合风格的 hdl 模块转换成具体电路发展非常迅速,大大提高了复杂 数字系统的设计生产率。所以 fpga 产品已经从最初的通信行业扩展到消费电子、军事 航天、汽车电子等行业。 1.2 液晶显示器的发展前景 液晶显示器件的优异特性决定了它在各类显示器件中的地位。仅仅 20 余年,液晶 显示就改变了几百年来的钟表计时行业,电子

13、计算器几乎已成人人必备,智能化仪器、 仪表使用了液晶显示,使它可以成为便携式。膝上电脑、笔记本电脑、掌上电脑改变 了人类生活方式,甚至改变了战争形式。 液晶显示向 crt 挑战展示了液晶显示技术的发展已经进入了一个新的时代。日本 人将液晶显示大量进入电视和电脑领域的 1992 年宣称为”液晶的元年” ,即从此液晶 已成长为一个巨人,将为人类开拓出一个新时代。 fpga 可以用硬件描述语言(hdl)的程序设计硬件,其好处主要体现在:理解容易, 电路的调试速度快,维护简单,有很多易于掌握的仿真、综合和布局布线工具,还有 可以用 c 语言配合 hdl 来做逻辑设计的布线前后的仿真并验证功能是否正确。

14、 广西工学院毕业设计 液晶显示接口电路 1 2 电子设计自动化概述 2.1 vhdl 简介 vhdl(very high speed integrated circuit hardware description language)是 ieee(institute of electrical and electronics engineers)标准的 硬件描述语言,是现代电子系统设计的首选硬件设计计算机语言。本篇介绍 vhdl 的语 法基础、用 vhdl 进行系统设计的基本方法、以及 vhdl 的设计实例等。 硬件描述语言 hdl(hardware description language)诞

15、生于 1962 年。hdl 是用 形式化的方法描述数字电路和设计数字逻辑系统的语言。主要用于描述离散电子系统 的结构和行为。与 sdl(software description language)相似,经历了从机器码 (晶体管和焊接) 、汇编(网表) 、到高级语言(hdl)的过程。 20 世纪 80 年代美国国防部开发 very high speed integrated circuitvhsic, 用于描述集成电路的结构和功能。此后,硬件描述语言向标准化方向发展,1987 年成 为 ieee standard 1076,称为 vhdl 语言。它也是美国国防部标准(mil-std-454l)

16、。 1993 年该标准增修为 ieee1164 标准。vhdl 与 veriloghdl 一起成为业界主选的硬件描 述语言。1996 年,再次加入电路合成的标准程序和规格,成为 ieee1076.3 标准。 2.1.1 vhdl 的特点 应用 vhdl 进行系统设计,有以下几方面的特点。 (1)功能强大 vhdl 具有功能强大的语言结构。它可以用明确的代码描述复杂的控制逻辑设计。 并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。vhdl 是一种 设计、仿真和综合的标准硬件描述语言。 (2)可移植性 vhdl 语言是一个标准语言,其设计描述可以为不同的 eda 工具支持。它可以从

17、一 个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个 工作平台移植到另一个工作平台。此外,通过更换库再重新综合很容易移植为 asic 设 计。 (3)独立性 vhdl 的硬件描述与具体的工艺技术和硬件结构无关。设计者可以不懂硬件的结构, 也不必管最终设计实现的目标器件是什么,而进行独立的设计。程序设计的硬件目标 器件有广阔的选择范围,可以是各系列的 cpld、fpga 及各种门阵列器件。 (4)可操作性 由于 vhdl 具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变 源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。 广西工学

18、院毕业设计 液晶显示接口电路 2 (5)灵活性 vhdl 最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。使其 在任何大系统的设计中,随时可对设计进行仿真模拟。所以,即使在远离门级的高层 次(即使设计尚未完成时) ,设计者就能够对整个工程设计的结构和功能的可行性进行 查验,并做出决策。 2.1.2 vhdl 的设计步骤 采用 vhdl 的系统设计,一般有以下 6 个步骤。 (1)要求的功能模块划分; (2)vhdl 的设计描述(设计输入) ; (3)代码仿真模拟(前仿真) ; (4)计综合、优化和布局布线; (5)布局布线后的仿真模拟(后仿真) ; (6)设计的实现(下载到目标器

19、件) 。 2.1.3 vhdl 的设计简述 vhdl 描述数字电路系统设计的行为、功能、输入和输出。它在语法上与现代编程 语言相似,但包含了许多与硬件有特殊关系的结构。 vhdl 将一个设计称为一个实体 entity(元件、电路或者系统) ,并且将它分成外 部的可见部分(实体名、连接)和内部的隐藏部分(实体算法、实现) 。当定义了一个 设计的实体之后,其他实体可以利用该实体,也可以开发一个实体库。所以,内部和 外部的概念对系统设计的 vhdl 是十分重要的。 外部的实体名或连接由实体声明 entity 来描述。而内部的实体算法或实现则由结 构体 architecture 来描述。结构体可以包含

20、相连的多个进程 process 或者组建 component 等其他并行结构。需要说明的是,它们在硬件中都是并行运行的。一个实体 可以对应一个或者多个结构体。结构体可以包含一个或者多个进程或者组件。 2.2 fpga 介绍 2.2.1 发展历史 早期的可编程逻辑器件只有可编程只读存储器(prom) 、紫外线可擦除只读存储器 (eprom)和电可擦除只读存储器(e2prom)三种。由于结构的限制,它们只能完成简 单的数字逻辑功能。其后出现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件 (pld) ,它能够完成各种数字逻辑功能。 20 世纪 80 年代中期,altera 和 xilinx 分别推

21、出了类似于 pal 结构的扩展型 cpld(complex programmable logic dvice)和与标准门阵列类似的 fpga(fieldprogrammable gate array) ,它们都具有体系结构和逻辑单元灵活、集成 广西工学院毕业设计 液晶显示接口电路 3 度高以及适用范围宽等特点。这两种器件兼容了 pld 和通用门阵列的优点,可实现较 大规模的电路,编程也很灵活。与门阵列等其他 asic(application specific ic)相 比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品不需测 试、质量稳定以及可实时在线检验等优点,因此被广泛应

22、用于产品的原型设计和产品 生产之中。几乎所有应用门阵列、pld 和中小规模通用数字集成电路的场合均可应用 fpga 和 cpld 器件。 fpga 是英文 field programmable gate arry 的缩写,即现场可编程门阵列,它是 在 pal、gal、epld 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路 (asic)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原 有可编程器件门电路数有限的缺点。 2.2.2 fpga 的基本特点 fpga 采用了逻辑单元阵列(loa,logic cell arry)这样一个新概念,内部包括 可配置逻辑模块(c

23、lb,configurable logic block) 、输入输出模块(iob,input output block)和内部连线(interconnect)三个部分。fpga 的基本特点主要有: (1)采用 fpga 设计 asic 电路,用户不需要投片生产就能得到合用的芯片; (2)fpga 可做其他全定制或半定制 asic 电路的试样片: (3)fpga 内部有丰富的触发器和 io 引脚; (4)fpga 是 asic 电路中设计周期最短、开发费用最低、风险最小的器件之一; (5)fpga 采用高速 chmos 工艺,功耗低,可以与 cmos、ttl 电平兼容。 可以说,fpga 芯片是

24、小批量系统提高系统集成度和可靠性的最佳选择之一。 fpga 是由存放在片内 ram 中的程序来设置其工作状态的。因此,工作时需要对片 内的 ram 进行编程。用户可以根据不同的配置模式采用不同的编程方式。 加电时,fpga 芯片将 eprom 中的数据读入片内编程 ram 中,配置完成后,fpga 进 入工作状态。掉电后,fpga 恢复成白片,内部逻辑关系消失。因此,fpga 能够反复使 用。fpga 的编程不需专用的 fpga 编程器,只需用通用的 eprom、prom 编程器即可。当 需要修改 fpga 功能时,只需换一片 eprom 即可。这样,同一片 fpga、不同的编程数据, 可以产

25、生不同的电路功能。因此,fpga 的使用非常灵活。 2.2.3 fpga 的优点 fpga 芯片都是特殊的 asic 芯片,除了具有 asic 的特点之外,还具有以下 3 个优 点。 (1)随着超大规模集成电路(vlsi,very large scale ic)工艺的不断提高, 单一芯片内部可以容纳上百万个晶体管,fpgacpld 芯片的规模也越来越大,其单片 逻辑门数已达到上百万门,它所能实现的功能也越来越强,同时也可以实现系统集成。 广西工学院毕业设计 液晶显示接口电路 4 (2)fpgacpld 芯片在出厂之前都做过百分之百的测试,不需要设计人员承担投 片风险和费用,设计人员只需在自己的

26、实验室里就可以通过相关的软硬件环境来完成 芯片的最终功能设计。所以,fpgacpld 的资金投入小,节省了许多潜在的花费。 (3)用户可以反复地编程、擦除、使用或者在外围电路不动的情况下用不同的软 件实现不同的功能。所以,用 fpgaicpld 试制样片,能以最快的速度占领市场。 fpgacpld 软件包中有各种输入工具和仿真工具及版图设计工具和编程器等全线产品, 电路设计人员在很短的时间内就可完成电路的输入、编译、优化、仿真,直至最后芯 片的制作。当电路有少量改动时,更能显示出 fpgacpld 的优势。电路设计人员使用 fpgacpld 进行电路设计时,不需要具备专门的 ic(集成电路)深

27、层次的知识, fpgacpld 软件易学易用,可以使设计人员更能集中精力进行电路设计,快速将产品 推向市场。 2.3 quartusii 介绍 2.3.1quartus ii 的主要功能 quartus ii 软件提供丰富的图形用户界面,并配有带示例的在线帮助。完整的 quartus ii 系统由一个综合设计环境组成,涵盖了从“设计输入”到“器件编程”的 每一步骤。用户可以轻而易举地综合不同类型的设计文件到一个结构化的工程当中, 自由选择认为适合的设计输入方式1。 quartus ii 编译器是系统软件的核心,为用户工程的芯片级实现提供强大的设计 处理功能。自动的错误定位和丰富的错误警告信息使

28、得设计修改更加容易。 在设计的每一步,quartus ii 软件能够让用户集中精力于设计本身,而不是软件 的应用。quartus ii 出众的集成工作环境能够大大提高用户的工作效率。 2.3.2 quartus ii 的设计流程 quartus ii 的设计流程如图 2.1 所示。 广西工学院毕业设计 液晶显示接口电路 5 设计输入 设计综合 时序逼近 工程更改管理 功耗分析 纠错布局布线 电路仿真 时序分析 编程配置 图 2.1 quartus ii 设计流程图 (1)设计输入(design enty) 用户可以使用 quartus ii 提供的 block editor、text edit

29、or 等以及 eda 设计入 工具来为自己的工程创建设计文件:选择 block editor 来完成原理图设计;选择 text editor 来输入基于 ahdl、vhdl 或者 verilog hdl 语言的设计文件。 (2)综合设计(synthesis) quartus ii 软件使用 quartus ii integrated synthesis 来分析综合输入设计文 件,将高级的设计描述翻译和优化到门级网表。quartus ii 在完成编译时能够自动完 成分析综合,也可以单独启动 start analysis kk 1100,nn 1111/?o_o 4.4 自定义汉字的显示 对于自定

30、义汉字的显示,首先需要获得自定义汉字的字模。采用任何一个汉字取 模的软件,按照逐行式扫描的方式,顺向扫描(高位在前) ,从高到低取模,即第一个 点作为最高位。每取 8 个点作为一个字节,如果最后不足 8 个点则补满 8 位,可得 lcm 的自定义汉字的字模。例如按照上述扫描方式,可得“电” 、 “科”两字的字模如下。 “电”的字模: db 02h,00h,02h,00h,02h,10h,7fh,f8h,42h,10h,42h,10h,7fh,f0h,42h,10h, 42h,10h,7fh,f0h,42h,10h,02h,00h,02h,04h,02h,04h,01h,fch,00h,00h

31、“科”的字模: db 00h,10h,0eh,10h,f8h,90h,08h,50h,08h,10h,feh,90h,08h,50h,1ch,10h, 1ah,10h,29h,feh,28h,10h,48h,10h,88h,10h,08h,10h,08h,10h,08h,10h 广西工学院毕业设计 液晶显示接口电路 16 要显示自定义汉字需要注意以下几点: (1)在显示数据之前,一定要把自定义汉字的字模放入 cgram 中。 (2)在将字模放入 cgram 之前,一定要先设定 cgram 的地址。 (3)cgram 的内容确定后,要指定在液晶屏上的显示位置,即设定 ddram 的地址。 (4)

32、将自定义汉字的编码写入 ddram,即可实现自定义汉字的显示。 4.5 lpm_rom 的初始化数据文件的设计 在使用 quartusii 开发软件的参数可调整模块(lpm)定制 rom(lpm_rom)元件的 过程中,需要向 rom 输入被存储的数据。这个过程需要建立一个称为定制 lpm_rom 的 初始化数据化文件。 初始化数据文件格式有 2 种:memory initialization file (.mif)格式和 hexadecimal (intel _ format) file (.hex)格式,本设计采用 mif 格式文件。为了 简化程序的设计,可以把指令及显示数据都放入 mif

33、 文件中,然后在 lpm_rom 的定制 过程中把 mif 文件导入到 rom 中。按照 ks0066 的工作时序依次读取存储器数据写入 lcm 即可。变动存储器中的数据内容可以实现不同内容的显示。 当采用自定义字形显示“电科”字样时,依据字形“电科”的字模数据设置 rom 的初始化的数据文件。存储器的 mif 文件内容如图 4.3 所示。 图 4.3 自定义字形 mif 文件 广西工学院毕业设计 液晶显示接口电路 17 广西工学院毕业设计 液晶显示接口电路 18 5 液晶显示接口电路的模块设计与仿真 5.1 液晶模块的初始化 液晶在上电过程中,开始必须进行初始化,否则模块无法正常显示。初始化

34、有 2 种方法:一视利用内部复位电路进行初始化;二是利用软件进行初始化。本文采用软 件初始化方法,在整个初始化过程中,数据都被送入 lpm_rom 模块中。初始化流程图 如下图 5.1 所示。 图 5.1 液晶模块的初始化流程 上电 功能设置 显示开关控制 清屏 显示模式设置 初始化结束 5.2 写数据模块 液晶初始化完成以后,便可以写入数据。写入数据时,首先应该选择数据寄存器, 其次是输入要写数据的地址,最后再输入数据。由于数据在 en 的上升沿时刻输入,但 是在 en 下降沿时刻才执行,所以,输完地址以后要确定 en 为下降沿以后,才能继续 输入数据,否则数据就会被冲掉。写数据模块如图 5

35、.2 所示: 图 5.2 写数据模块 广西工学院毕业设计 液晶显示接口电路 19 其中 sclk 为系统时钟,shart 为启动显示信号,高电平有效;clear 为清零信号, 低电平有效;en 为 lcm 使能输入端,在 en 下降沿,数据被锁存,在 en 为高电平期间, 数据被读出;r/w 为 lcm 的读/写控制信号,当 r/w=1 时,为读操作,当 r/w=0 时,为 写操作。为 rs 为 lcm 寄存器选择输入端,为 0 时选择命令寄存器,为 1 时选择数据寄 存器。db7.0为 lcm 数据输入端,promadr 为 rom 模块的地址信号,leddata8.0 为程序调试时的测试端

36、口。 当 clear 为 1,即为高电平时,promadr 输出为 00h,当 clear 为 0,即低电平, 系统时钟 sclk 脉冲上升沿来临,且 rs 寄存器选择端为 0,r_w 读/写控制端也为 0,en 使能端为 1 时,db7.0输出的为00000001,即液晶屏实现清屏功能。当 clear 为 0,即低电平,且启动显示信号 start 为 1,即高电平时,就执行显示汉字操作,即 promadr 向 lpm_rom 输入地址,而 lpm_rom 向 lcdcgram 模块中的 prom_data8.0端 输送数据,prom_data 再通过 lcdcgram 内部的逻辑关系分别把数

37、据传送给 db7.0和 leddata8.0,db7.0就把数据写到 lcm 模块中实现自定义汉字的显示功能。 5.3 lpm_rom 模块 lpm_rom 是存储自定义汉字“电科”两字的数据模块,此模块为写数据模块提供数 据。此模块如图 5.3 所示。 图 5.3 lpm_rom 模块 lpm_rom 模块的调用方式有两种:原理图调用方式和 vhdl 文本调用方式,本设计 采用原理图调用方式。 (1)先进入原理图编辑窗,再打开 symbol 对话框,弹出如图 5.4 所示的对话框。 在对话框中选择 megafunctions 中的 storage 下的 lpm_rom,如图 5.5 所示4。

38、 广西工学院毕业设计 液晶显示接口电路 20 5.4 symbol 对话框 5.5 选择 lpm_rom 功能模块 (2)在图 5.5 中单击 ok 按键,则弹出如图 5.6 所示的对话框。选择输出文件类 型,指定输出文件的路径和文件名。 图 5.6 megawizard plug-in manager 向导对话框 广西工学院毕业设计 液晶显示接口电路 21 (3)单击图 5.6 的 next 按钮,弹出如图 5.7 所示的 lpm_rom 设置对话框,在该 对话框中,可以设定输入 q 的位宽,设定存储空间,选择哪种设备,选择系统为单时 钟或者双时钟等。这里输入 q 的位宽为 9,存储深度为

39、256,选择单时钟。 图 5.7 lpm_rom 设置对话框 (4)单击 next 按钮,弹出如图 5.8 所示的对话框,在该对话框中,可以设定所 要初始化的端口,设置 q 为输出端口。 图 5.8 lpm_rom 设置对话框 (5)单击 next 按钮,弹出如图 5.9 所示对话框,在该对话框中,要选择 rom 的 数据文件,选用 fpga 芯片中哪种类型的 ram 块。选择数据文件为 lcdcgram.mif 文件, 选择 ram 块的类型为 m4k。 广西工学院毕业设计 液晶显示接口电路 22 图 5.9 lpm_rom 设置对话框 (6)单击 next 按钮,出现如图 5.10 的对话

40、框,在该对话框中单击 finish 按钮, 就完成 rom 模块的例化。 图 5.10 lpm_rom 设置对话框 5.4 液晶显示接口电路的顶层电路 显示接口电路的顶层电路原理图如图 5.11 所示。要使液晶显示器能够显示“电科” 字样的文字,则要在定制 lpm_rom 的过程中把上述初始化数据文件导入到 rom 中进行 lpm_rom 初始化。通过写数据模块(lcdcgram 模块)中的 promadr 信号向 lpm_rom 写 入地址信号,同时在 clock 信号的控制下,lpm_rom 的输出端 q8.0向 lcdcgram 输 入指令数据和字模数据。 广西工学院毕业设计 液晶显示接

41、口电路 23 图 5.11 显示接口电路的顶层电路原理图 5.5 液晶显示接口电路的波形仿真 仿真运行后的波形图如图 5.12 所示。 图 5.12 自定义字形仿真波形 由波形可以看出,在时钟信号的作用下,在 lcm 初始化的过程中,lcdd/i 一直处 于低电平状态,即选择了命令寄存器;初始化完成后,lcdd/i 一直处于高电平,即选 择了数据寄存器;因为 fpga 是对 lcm 写入数据的,所以 lcdr/w 一直是处于高电平, 即对 lcm 的操作为写操作,送入 lcm 的数据确实与图 4.3 所示存储器初始化的自定义 汉字的 mif 文件一致。 5.6 引脚设置 仿真成功后可以进行程序

42、的下载及调试,但在程序下载前必须进行引脚锁定,有 前述分析可知,fpga 需要的输入信号有时钟信号 sclk、清零信号 clear 以及启动显示 信号 start,输出信号为 lcm 的控制及显示数据输入信号。其中时钟信号可以由连接在 fpga 芯片上的晶振提供,其余的输入信号由连接在 fpga 芯片 i/o 引脚上的按键提供, 如图 5.13 所示 广西工学院毕业设计 液晶显示接口电路 24 图 5.13 lcm 模块和 fpga 的引脚对照图 广西工学院毕业设计 液晶显示接口电路 25 总 结 本设计的 lcd 控制器采用硬件描述语言 vhdl、以 fpga 为控制核心实现对液晶显示 器的

43、控制,具有简单、稳定、便于修改和移值、可重要用性好的特点。该控制器已经 在康芯公司 gw48 系列 eda 实验开发系统实验箱上实现其显示功能,效果较好。本设计 为嵌入式系统人机界面中的 lcd 控制驱动与接口设计提供了一种可靠而实用的设计方 案。 以 gw48 系列 sopc/eda 的 gw48-pk2 系统为核心,设计液晶显示接口控制电路。pc 机上采用 quartus ii 开发系统,将所要显示的文字数据通过相关的接口传送给 gw48- pk2,经过电平转换,驱动 ks0066,实现液晶屏的文字显示。 广西工学院毕业设计 液晶显示接口电路 26 致 谢 这次课程设计终于顺利的完成了,在

44、设计中遇到了很多问题,最后在老师的辛勤 指导下和其他同学的帮助,终于迎刃而解。在这,对于指导老师和同学表示衷心的感 谢! 广西工学院毕业设计 液晶显示接口电路 27 参考文献 1 杨春玲,朱敏.eda 技术与实验.哈尔滨:哈尔滨工业大学出版社,2009. 2 李莉,路而红.电子设计自动化(eda)课程设计与项目实例.北京:中国电力出版 社,2009. 3 百度收索.hs162-4 字符型液晶显示模块使用说明书. 4 马建国,孟宪元.fpga 现代数字系统设计.北京:清华大学出版社,2010. 5 郑燕,赫建国.基于 vhdl 与 quartusii 软件的可编程逻辑器件应用与开发(第二 版). 北京:国防工业出版社,2011. 6 潘松,黄继业.eda 技术实用教程(第三版)m.北京:科学出版社,2006. 7 谭会生,瞿遂春等.eda 技术综合应用实例与分析m.西安:西安电子科技大学出 版社,2004. 8 潘松vhdl 实用教程成都:电子科技大学出版社,2000. 9 任爱峰基于 fpga 的嵌入式系统设计西安:西安科技大学出版社,2004. 10 周润景,图雅,张丽敏基于 quartus的 fpga/cpld 数字系统设计实例北京: 电子工业出版社,2007. 广西工学院毕业设计 液晶显示接口电路 28 附 录 librar

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论