运动估计算法设计及FPGA实现_第1页
运动估计算法设计及FPGA实现_第2页
运动估计算法设计及FPGA实现_第3页
运动估计算法设计及FPGA实现_第4页
运动估计算法设计及FPGA实现_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第2 8 卷第4 期 2 0 c r 7 年7 月 江苏大学学报( 自然科学版) J o u m a l “J i 鲫伊uU I l i v e 璐畸( N a t u r a JS c i c eE d i t i 佃) V 0 1 2 8N o 4 J u l y2 0 0 7 运动估计算法设计及F P G A 实现 陈祖爵1 ,韩云1 2 ,鞠时光1 ,武仲寅1 ( 1 江苏大学计算机科学与通信工程学院。江苏镇江2 1 2 0 1 3 ;2 巢湖学院计算机系,安徽巢湖2 3 8 0 0 0 ) 摘要:为进一步提高编码效率,在研究菱形算法的基础上,采用了“十字”形运动估计算法,设计了 硬件

2、电路,并用H G A ( F i e l d - P m g 隐m m a b l eG a t eA m y ) 实现了算法结合算法的特点,设计了整体框 架结构,提出了一种高度并行、紧凑流水线的F P G A 实现方案用V e r i l o gH D L 硬件描述语言设计了 编码,在Q u A R T u S 集成开发环境下,进行了仿真验证,并写入F P G A 芯片,实现了“十字”形运动 估计算法经测试表明:该设计方案搜索高效、逻辑简洁,对比全搜索法占用硬件资源较小可广泛 应用到移动视频通信、远程无线监控等领域 关键词:计算机;运动估计算法;菱形算法;F P G A ;流水线;匹配准则

3、中图分类号:T P 3 9 1 4 1文献标志码:A 文章编号:1 6 7 1 7 7 7 5 ( 2 0 0 7 ) 0 4 一0 3 4 0 一0 5 A l g o r i t h md e s i g na n dF P G Ai m p l e m e n to fm o t i o ne s t i m a t i o n C H E Nz u - j t 犯,H A NY u l I J Us M 一舒加l n g W Uz n g - 伽 ( 1 S c h 砌0 fC 砌p u t e rs c i 唧e 舡l dC 锄m u n i c a t i 0 咀E n g i n

4、 r i I I g ,J i 柚g 叭U I l i v e 稿蚵,z I l e n j i 肌g 。J i 8 n 鲫l2 1 2 0 1 3 ,C l l i 眦;2 c o m p u t e r D e p a 】伽t ,C h a o h uC o u e g e ,C h a o h u ,A I l I l I l i2 3 8 0 0 0 ,C l l i 矾) A b s t r a c t :I no r d e rt oi n c r e 鹊et l l ee n c o d i n ge m c i e n c y ,r o o dp a t t e mm o t

5、i o ne s t i m a t i o na l g o r i 出mw 鹊u s e d b a s e do nd i 锄o n ds e a r c ha l g o r i t l l m H a r d w a r ec i r c u i t sw e r ed e s i g n e da n dt l l ea 1 9 0 r i t h mw 鹄i m p l e m e n t e d b a s e do nF P G A A c c o r d i n gt ot l l ef e a t u r e so ft l l ea l g o r i t h m ,t

6、 l l ef h m ea r c h i t e c t u r ew 船d e v e l o p e da n da F P G Ai m p l e m e n t i n gm e t h o da d o p t i n gh i g h l y p a r a U e l 锄dc o m p a c tp i p e l i n i n g 踟他h i t e c t u r ew a 8d e s i g n e d T h ec o d ew 鹊d e s i 印e db a s e d 伽V e r i l o gH D L U n d e rt l eQ U A R 7

7、 I U S i n t e g m t e de n V i r o n m e n t ,t l l ed e s i g nw 嬲v e r i f i e db y8 i m u l a t i o n 锄di m p l e m e n t e do n 同P G A T h e 陀s u l 协s h o wt l a t t I l em e t h o dh 鹪 a d v 锄t a g e so fh i g l l - p e 而m a n c e ,s i m p l es 咖c t u 托明dl e s sl o g i c 陀s o u r c et l l 锄f u

8、 Us e a r c ha l g o r i t l m K e yw o H l s :c o m p u t e r ;m o t i o ne s t i m a t i o na l g o r i t l m ;d i a m o n da l g o r i t l l m ;F P G A ;p i p e l i n e ;m a t c h i n gm l e 运动估计是视频压缩中的关键环节,被广泛应 用于视频编码标准中( 如M P E G 4 ) 块匹配算法是 目前应用最广泛的运动估计算法其中全搜索算法 精度最高,但计算过于复杂,不宜在实时环境中使 用因此,提出了很多

9、快速算法,如T K o g a 等提出的 三步法3 和J R J a i n 等提出的二维对数法,由于限 制了搜索位的数目,不利于估计小的运动且容易陷 入局部最小接着R U 等提出的新三步法、L M P o 等提出的四步法、L K u u 等提出的梯度下降法等, 虽然提高了速度,但逻辑复杂,不利于硬件实现后 来S z h u 等提出的菱形算法2 1 ,搜索更加高效,但 也同样存在硬件实现时逻辑复杂笔者通过改变菱 形算法,提出一种有利于硬件实现的运动估计算法, 并进一步研究采用F P G A 实现的方法 1 运动估计算法 在常规的运动中,运动都呈现出规律性变化,在 收稿日期:2 0 0 6 一0

10、 6 3 0 基金项目:国家自然科学基金资助项目( 6 0 5 7 3 0 4 6 ) 作者简介:陈祖爵( 1 9 5 l 一) ,男,上海人,副教授( z j c h 绷 u j 8 e d u ) 主要从事嵌入式系统和网络技术的研究 韩云( 1 9 一) ,男,四川仁寿人,硕士研究生( 1 m I l 9 8 0 1 0 1 6 3 咖) ,主要从事嵌入式系统和视频压缩技术的研究 万方数据 第4 期陈祖爵等:运动估计算法设计及F 烈逸实现 3 4 l 相近的范围内,物体之间的运动方向具有很强的相 关性运动矢量的分布都具有一定的聚集性在低速 运动状态下,相邻帧之间的运动变化是很小的有关 理论

11、研究表明 3 :在低速运动下,运动估计的最佳 匹配点一般在以搜索窗口中心为圆心,以2 个象素 为半径的圆内菱形算法依此理论,通过设计大、小 两个菱形模板( 见图1 ) ,搜索两次来获得最佳点从 搜索过程看出:菱形算法试图通过逐步深入的两次 搜索遍历整个区域如果改变搜索路径,可能一次就 能搜索到最佳点即使搜索不到最佳点,也是与最佳 点相关文中提出的“十字”形运动估计算法来源 于此 一 , , 一一一、 , r , 、,Jr一 1 r J 、, r , , ( a ) 大菱形( b ) 小菱形 图1 菱形搜索模板 F i g 1 D i a m 蛐dB e a r c ht e m p l a t

12、 e 1 1 “十字”形运动估计算法的提出 “十字”形算法是通过改变菱形算法的搜索路 径来实现的其目的就是在继承菱形算法高效、逻辑 简洁的基础上进一步提高效率,有利于硬件实现为 能提高运动估计的精度,匹配准则的选取就成为关 键的因素 1 2 匹配准则 匹配准则是衡量运动估计精度的一个标准在 块匹配法中,常用的有5 种匹配准则:均方误差函数 ( 彪昭) 、绝对平方差函数( 删D ) 、最大误差函数最 小函数( M 眦) 、最大匹配数( 膨P C ) 、黝D 准则由于 s A _ D 准则算法简洁、高效,已取代了曾经流行的 勉4 p 而被广泛应用到硬件设计中H 】,文中选择了这 一准则,其定义为

13、= Ij l r = l 酣D ( f ) = 芝2 芝:I ,2 ( m ,n ) 一,。( f + m J + ,1 ) I - ;石b 磊而 其中,2 ( m ,n ) 表示( m ,n ) 点的象素值,l ( + m J + n ) 表示( f + m J + 砧) 点的象素值 1 3 “十字”形运动估计算法搜索步骤 “十字”形运动估计算法是在菱形算法的基础 上改变得来的搜索目标由5 个点的小十字和9 个 点的大十字构成其搜索步骤为: 第一步:对图2 a 中的9 个点进行s A D 匹配运 算,如果最小点是中心点,则转入第四步;如果最小 点在中间4 点上,则转入第二步;如果最小点在外4

14、 点,则转入第三步; 第二步:以上一步得到的最小点为中心点,形成 一个小十字( 见图2 b ) ,并对这5 个点进行s A D 匹配 计算,若中心点为最小点,则转入第四步;否则转入 第二步; 第三步:以第一步得到的最小点为中心点,形成 9 个点的大十字形( 见图2 a ) ,转入第一步; 第四步:得到的点即最佳点,并计算出运动矢 量 ( a ) 大十字( b ) 小十字 图2 十字模板 F i g 2 R o o dp a t t e mt e m p l a l e 2 硬件电路设计及F P G A 实现 为满足实时性的需求,可借助F P G A 灵活、快速 的特点哺 ,充分发挥硬件计算快速

15、高效的优势本 系统采用F P G A 来实现新的运动估计算法,设计了 硬件电路,描述了在F P G A 上的实现 2 1 硬件电路设计 通过对“十字”形运动估计算法研究,设计出的 F P G A 实现结构见图3 结构上分为:控制部分、数据 处理部分和存储部分 图3 运动估计模块框图 F i g 3M 撕o n 鹪i m a t i o nm o d u l ed i a 醪吼 万方数据 3 4 2 江苏大学学报( 自然科学版)第2 8 卷 数据处理部分由输入预处理模块、跗D 计算模 块、跗D 比较模块和判定模块组成;控制部分由控制 单元和地址发生器构成;存储部分由搜索窗口和参 考块存储器组成在

16、控制单元提供统一的时钟和使 能信号下,从外部输人数据,再输入预处理器进行数 据有效性处理,处理完后就把数据存入到搜索窗口 中【6 ,与此同时从外部输人参考块的数据存人到参 考块存储器中控制单元向地址发生器发出状态信 号和需搜索的中心点地址,地址产生器依据状态信 号产生偏移地址序列,在搜索窗口中依据偏移地址 序列、需搜索的中心点地址和参考块中心地址向 跗D 计算模块输出数据( 并按设计的顺序输入到特 定的s A D 计算单元) ,在此时参考块存储器也向 s A D 计算模块输出数据S A D 计算模块计算出s A D 值,并输入到比较模块中进行大小比较,从而得到最 小5 :A D 的块,在判定模

17、块判定是否是最佳点若是, 则计算并输出运动矢量;若否,则向控制单元输入非 最佳点的信号然后继续计算 2 。2 搜索窗口电路设计与实现 搜索窗口中存放了对应于指定参考块搜索范围 内的全部数据通过输入预处理单元,进入搜索窗口 的是在搜索范围内的全部数据并通过设定有效数 据,标示出存放在搜索窗口的数据的有效性因为在 设计时,搜索窗口的大小是定值( 设为2 8 8B ) ,但在 满足条件的搜索范围内得到的数据数可能不同( 主 要是由于边界的影响) ,所以给每个数据设定了有 效判断位搜索窗口主要是由一个双端口缓冲存储 器构成 J 但有其自己的特点:首先,有两个数据输 入端口,一个是经预处理单元输入搜索数

18、据的端口, 一个是由地址产生器输入的搜索地址端口;其次,由 于有两类输入数据口,所以就设计了两个不同的输 人使能信号,一个使能信号用于指示搜索数据输入, 一个用于使能搜索地址 2 3 参考块存储器电路设计与实现 参考块存储器用8 8 的6 4B 的队列表示,其 每行8 个数据以并行的方式同时输给9 个s A D 计 算单元在这里采用了流水线技术,即在输入数据的 同时也在输出数据可见,参考块是由一个双端口存 储器构成的 2 4 姒J D 计算模块电路设计与实现 黝D 计算模块是用来并行计算s A D 值的单元, 由9 个带有编号的跗D 单元构成9 个s A D 单元对 应着9 个搜索点编号的顺序

19、由小到大对应着点由 内到外,这样就很方便地对应着算法中遇到的2 种 情况当需要计算5 点的时候,就只需启动编号为 0 0 0 0 到0 1 0 0 的5 个黝D 单元;当需要计算9 个点 的时候,就启动编号0 0 0 0 到0 1 1 1 的9 个点这样的 编号设计,很方便在判定模块判定是否搜索到了最 佳点 在酗D 计算模块中,设计s A D 计算单元成为关 键s A D 计算单元由8 个8 位A L U 单元、8 个取绝对 值单元、8 个1 4 位的累加器单元构成、一个总A L U 和寄存器构成其结构见图4 为了并行计算8 个数 据,在设计中采用了8 个计算单元计算流程是第一 段的A L U

20、 用于实现两个数据的减法运算,为了方便 后面判断数据的符号,特意加大了一位,用作符号 位在执行绝对值运算的时候通过判断符号位来确 定数据的正负执行完了绝对值计算,就在累加器里 累加并保存数据当执行8 次后,一个数据块已经计 算完了,就到第二段A L U 进行计算并存入寄存器 中在输出使能下,输出带有s A D 编号的数据 1 啦寄 A L U 存 嚣 图4s A D 计算单元框图 F i g 4 S 4 Dc o m p u t i n ge l e m e n td i a g r 锄 2 5 比较模块电路设计与实现 比较模块是通过分组并行比较实现的9 个数 据分为4 组4 阶段实现9 个数

21、据分为4 组还剩下 一个数据,就把这个数据留到最后一个阶段进行比 较,由于都是并行计算,所以效率还是很高的在比 较中,输入数据是抽出最低的1 4 位来比较的,数据 中最高的4 位是髓D 编码位,最后输出的就是其中 最小S A D 数据所在的高4 位,表示出哪个跗D 是最 小的,为判定模块提供了判定的依据当然在比较单 元中,也传递了每个s A D 所对应的运动矢量值 2 6 判定模块电路设计与实现 判定模块是用于判定在比较模块中计算出的 s A D 最小块情况的传人比较模块的跗D 的编号为 0 0 0 0 时,则判定为最佳块,此时就向下一个模块输 入运动矢量,同时向控制单元输入状态O ,表明已经

22、 找到最佳块,准备进人下一个块的搜索;若S A D 的 编号大于0 0 0 0 而小于0 1 0 1 ,则向下一个模块输入 高阻信号,同时向控制单元输入状态1 ,表明下一步 万方数据 第4 期陈祖爵等:运动估计算法设计及F P G A 实现 3 4 3 进入5 点搜索状态;若湖的编号大于0 1 0 0 ,则向 下一个模块输入高阻信号,同时向控制单元输入状 态2 ,表明下一步进入9 点搜索状态 2 7 控制单元电路设计与实现 由于其他模块的功能相对完善,控制单元的功 能就相对简单一些控制单元主要按设计的时序向 所有的模块提供统一的时钟信号和使能信号,并且 向地址产生器传递搜索状态信号所以控制单元

23、是 通过一个简单的状态机模型来实现的,主要是提供 使能信号哺J 2 8 地址产生器电路设计与实现 地址产生器的功能就是依据控制单元给定的状 态信号,产生出搜索的起始地址为了减小输人数据 的位数,输入的都是相对搜索中心的地址偏移 量旧】在搜索窗口中,通过简单的加法计算就可以 找到搜索的地址,快速地实现搜索计算当然为了并 行运算,每次输出的都是依据状态信号定义的所有 搜索地址,并且以有效信号确定地址信号的有效性 3 性能分析与测试 “十字”形运动估计算法与菱形算法比较可得: “十字”形算法可能只需一次并行运算就可找到最 佳点,既继承了菱形算法的优势又提高了搜索速度 从用F P G A 的实现方案上

24、来看,采用了高度并行运 算和紧凑流水线技术 10 I ,也加快了运算的速率比 如在s A D 计算时通过9 个s A D 并行计算单元,可以 在8 个周期内完成9 个搜索块的黝D 计算,并且输 入、计算、输出采用了流水线技术【1 1 l ,就把速度提高 了几十倍可见采用F P G A ,可以充分利用硬件计算 的并行性和发挥流水线技术的优势引,从而大幅度 提高运动估计的效率 为验证系统的性能,分别做了两个测试:第一个 测试是对M i s sC l a 图像序列( 从第二帧到第七 帧) 进行重构,从检测C R 和艄懈两个方面来比较 新算法和菱形算法的优劣,测试结果见表1 表l 菱形算法和“十字”形

25、算法的性能参数比较 T 曲1P e r f b 瑚n p a 删撇把rb a s e d 帆d i l I m n ds e a r c ha I 印m h m 柚dr O o dp a t t e ma I g o r i t h m 表1 表明:新算法在图像质量上十分近似,压缩 比有所提高,同时搜索次数减少 第二个测试是对一电影片段分别用全搜索算法 和“十字”形算法进行重构,从分析矢量分布来比较 算法的优劣搜索范围是 一1 6 ,1 6 ,采用Y U V 的 图像格式,其主要搜索y ( 亮度) 部分用M a d a b 生 成的运动矢量分布见图5 ,图6 1 茎器 耋竺 O 8 图5 “十

26、字”形运动算法矢量分布图 F i g 5 V e c t o rd i s t r i b u t i b a s e d m o d p a n e mm o t i o n 髓t i 删l t i a l 鲥t I l m 从图5 ,图6 可以看出,两种算法的运动矢量分 搬很相似,由此可见,在低速下( 视频序列是低速 的) ,“十字”形算法的精度与全搜索算法近似,而搜 索的次数却明显少了,可见“十字”形算法是高效 的测试表明:低速运动情况下,新算法速度加快,搜 索精度也很高,是一种快速高效的运动估计算法 瓤 牛 l 水 需 删 图6 全搜索法得到的矢量分布图 F i g 6 V e c t

27、 o rd i s t r i b u t i b a d g l o b a ls e a r c h 4 结论 通过对菱形算法及运动相关性的研究,设计了 一种运动估计算法的F P G A 实现方案该方案以低 功耗、高效率为设计准则,引入双端口存储访问模 万方数据 3 4 4 江苏大学学报( 自然科学版)第2 8 卷 式,设计了高度并行、紧凑流水线的系统结构,提高 了数据处理速率,并可方便地应用到M P E G 一4 编 码器设计中本方案已在A l t e m 公司的F P G A 芯片 S 咖t i 】【I I 上以V e r i l o gH D L 语言实现,测试结果表明 到达了预期的

28、效果还可推广应用于移动视频通讯、 远程无线监控等领域 参考文献( R e f e 他n 啷) 1 李子印,朱善安基于运动矢量预测的六边形块运动 估计搜索算法 J 信号处理,2 0 0 6 ,2 2 ( 2 ) :1 9 3 一 1 9 7 U Z i - y i n ,Z H US h 蛐一觚A h e x a g o n - b a s e db 1 0 c km o t i e s t i m a t i o ns e a r c hn l g o r i t h mb 酗e d 叩p r e d i c t i V em o t i v e c t o r J 跏z 胁船s 打皤,2 0

29、 0 6 ,2 2 ( 2 ) :1 9 3 1 9 7 ( i nC h i n e ) 2 z h us ,M aKK An e wd i 锄d 眈a r c ha l g o r i t h mf o r 缸t b l o c km a l 【c h i n g 脚t i o ne s t i m g t i o n J c b ,r m “,l l 砌如,塔 8 磁S 泓 P 聊缁i 醒,1 9 9 7 ( 1 ) :2 9 2 2 9 6 3 桂乐小波分析理论及其在图像压缩中的应用 D 西安:西北大学,2 0 0 3 4 楼栋军,徐宁仪,林孝康一种快速高效M P E G 4 运动 估

30、计硬件结构的研究和实现 J 电视技术,2 0 0 4 ( 8 ) :7 1 1 L O UD o n g - j u n ,X UN i n g y i ,U NX i a o - k m g A ne m c i e n t v L S Ia r c I l i t e c t u 陀D rM P E G 一4m o t i t i m a t i 叩 J W 如DD l 舒聊折,l g ,2 0 0 4 ( 8 ) :7 一1 1 ( i nC h i n e ) 5 c a oR ,x uD ,B e n d e yJP R e c o n f i g u 瑚l b l eh a r d

31、 w a r ei m p l e m e n t a t i 佣o f 肌i m p m v e dp a 瑚1 l e l a r c h i t e c t u mf b r M P E G _ 4 咖t i o ne s t i m a t i 伽i nm o b i l ea p p H c a t i o m J 胍髓Z k 瑚删幻邶帆c D 船姗盯觑唧r o 毗s ,2 0 0 3 ,4 9 ( 4 ) :1 3 8 3 1 3 9 0 6 7 8 9 1 0 1 1 1 2 D e n o l fK ,C h i r i l a - R u sA ,T 岫e yR M e m

32、o r ye m c i e n td e s i 朗o f 明M P E G 一4v i d e o c o d e rf o rn c A C ,m 盯- 删幻删c D ,归e 懈D n 盹埘枷卯疗m l 口6 kL 彬c 甜以 锄f i 删幻m ,2 0 0 5 :3 9 l 一3 9 6 M a s o o dN ,N 叩dSS I m p l e m e n t a t i 叩0 fM P E G - 4D e c o - d i n g F I ,G A c 彳砸1 7 # l I 础e m m 面,越c o 毋 r e ,艘 o n 肘赫聊e 以r D ,妇。2 0 0 5 :2

33、 4 5 2 4 6 C h i e nC h i h d a ,C h e nH o c h u n Al o w p o w e rm d t i o nc o m p e I l s a t i I Pc o r ed e s i g 耳f o rM P E G - l 2 4v i d e od e c o d i I l g J 脚砌e m m 函n 耐$ 7 印傩i 啪鲫a r c u 如彻d S 如招粥,2 0 0 5 ( 5 ) :4 5 4 2 4 5 4 5 L e h t o r a n t 80 ,S a l m i n e nE ,K u 】姗1 日A Ap a r

34、a l l e l M P E G 4e n c o d 盱f o rF P G Ab a s e dm I l l t i p r o c e s s o rs o C c ,蹴m m 幻,以c D 啦r e ,娣o n 腑配P ,昭m 删加6 跆 如咖口蒯A p p 比0 t 幻珊,2 0 0 5 :3 8 0 一3 8 5 x i l i 眦I n c M P E “S i m p l e 嘲l e E n c o d e rv 1 1 E B O L 2 0 0 6 0 6 1 5 h 吐p :w w w x i l i n x c 伽 杜天艳,唐平P e t r i 网的一种硬件实现

35、方法 J 江 苏大学学报:自然科学版,2 0 0 4 ,2 5 ( 5 ) :4 4 l 一4 4 4 D UT i 粕- y a I l ,T A N GP i n g A 础t h o do fh a r d w a r ei m p l e - m 朗t a t i 佣o fP e t r in e t J 如丌j 脚矿,z 鲫轳M 您渺: A k w 以S c 话撇甜拓溉,2 0 0 4 ,2 5 ( 5 ) :4 4 1 4 4 4 ( i n C h i n e s e ) 杜天艳,赵不贿,陆继远基于m U d t i S I M 环境下的混 杂系统仿真 J 江苏大学学报:自然科学

36、版,2 0 0 3 , 2 4 ( 3 ) :7 1 7 4 D UT i 锄- y 明,Z H A 0B u - h u i ,L UJ i y l l 锄S i m u l 撕o f h y ds y s t e mb 鹳e d 帆m u l t i s I M J 知姗恤z0 ,肋瞪“ 如如哪蚵:t 删S c 诧胱瞄幻n ,2 0 0 3 ,2 4 ( 3 ) :7 l 一 7 4 ( i nC h i m s e ) ( 责任编辑朱银昌) 万方数据 运动估计算法设计及FPGA实现运动估计算法设计及FPGA实现 作者:陈祖爵, 韩云, 鞠时光, 武仲寅, CHEN Zu-jue, HAN

37、 Yun, JU Shi-guang, WU Zhong-yin 作者单位:陈祖爵,鞠时光,武仲寅,CHEN Zu-jue,JU Shi-guang,WU Zhong-yin(江苏大学,计算机科学与 通信工程学院,江苏,镇江,212013), 韩云,HAN Yun(江苏大学,计算机科学与通信工程学院 ,江苏,镇江,212013;巢湖学院,计算机系,安徽,巢湖,238000) 刊名: 江苏大学学报(自然科学版) 英文刊名:JOURNAL OF JIANGSU UNIVERSITY(NATURAL SCIENCE EDITION) 年,卷(期):2007,28(4) 被引用次数:3次 参考文献(1

38、2条)参考文献(12条) 1.李子印.朱善安 基于运动矢量预测的六边形块运动估计搜索算法期刊论文-信号处理 2006(02) 2.Zhu S.Ma K K A new diamond search algorithm for fast block matching motion estimation 1997(01) 3.桂乐 小波分析理论及其在图像压缩中的应用学位论文 2003 4.楼栋军.徐宁仪.林孝康 一种快速高效MPEG-4运动估计硬件结构的研究和实现期刊论文-电视技术 2004(08) 5.Gao R.Xu D.Bentley J P Reconfigurable hardware

39、implementation of an improved parallel architecture for MPEG-4 motion estimation in mobile applications 2003(04) 6.Denolf K.Chirila-Rus A.Turney R Memory efficient design of an MPEG-4 video encoder for FPGA 2005 7.Masood N.Naqvi S S Implementation of MPEG-4 Decoding on FPGA 2005 8.Chien Chihda.Chen

40、Hochun A low-power motion compensation IP core design for MPEG-1/2/4 video decoding 2005(05) 9.Lehtoranta O.Salminen E.Kulmala A A parallel MPEG-4 encoder for FPGA based multiprocessor SoC 2005 10.Xilinx Inc MPEG-4 Simple ProfileEncoder v1.1 2006 11.杜天艳.唐平 Petri网的一种硬件实现方法期刊论文-江苏大学学报(自然科学版) 2004(05)

41、12.杜天艳.赵不贿.陆继远 基于multiSIM环境下的混杂系统仿真期刊论文-江苏大学学报(自然科学版) 2003(03) 相似文献(10条)相似文献(10条) 1.学位论文 林舒静 视频压缩中运动估计算法的研究 2009 运动估计技术是视频压缩编码中的核心技术之一,采用运动估计和运动补偿技术可以消除视频信号的时间冗余,从而提高编码效率。研究设计高效 、快速、鲁棒的运动估计算法成为目前视频压缩技术中研究的重要课题。在各种运动估计方法中,块匹配法由于其原理简单、便于实现等优点得到了普 遍应用,被许多视频编码标准(如MPEG以及TH26X)所采用,在理论研究和实践应用中得到了不断的发展和完善,成

42、为数字视频技术的一个研究热点。其 相关快速算法也得到了广泛的研究和发展。但是,传统的快速块匹配算法如三步法、菱形法等虽然极大地提高了搜索速度却具有易陷入局部最优的固有 缺陷,不能兼顾快速运动运动矢量和准静止运动矢量,这对于运动估计的质量有很大的影响,是迫切需要解决的问题。 本文主要的任务是在分析和研究几种经典的运动估计算法的基础上,改进并实现一种更优的算法。本文首先阐述了基于块匹配的运动估计的基本原 理,详细介绍了全搜索法和几种典型的块匹配运动估计快速算法。分析了它们各自的技术特点,通过实验数据定量地评价了各算法的优缺点。然后重点 介绍了本文提出的一种改进的自适应运动估计算法新方向性菱形弧形算

43、法。此算法根据序列图像中运动矢量场中心偏置分布特性,设计了一种方向 性菱形模板和大菱形弧形模板,根据运动类型自适应选择搜索策略。以上技术使本文算法在保证了搜索准确性的同时,很大的提高了运动估计的速度 。最后,进行了系统仿真实验来论证论文中改进的算法。通过对实验数据列表分析、比较,发现改进后的算法均优于本文介绍的经典的块匹配运动估计 算法,实现了预期的研究目标。综合实验数据,可以得出以下结论:本文算法对具有小运动、中等运动和大运动的视频序列图像均能在搜索速度和搜索 精度两方面保持比较优异的性能,兼顾大运动矢量和小运动矢量搜索,计算量都有所减少,搜索速度快。所以本文算法无论在搜索准确性还是搜索速度

44、 方面,与以往的快速搜索算法相比,均具有一定的优势,并且由于本算法充分利用了向量的时间和空间上的相关性来预测起始搜索点,从而使算法不容 易陷入局部最优,极大的避免了出现搜索错误的可能,提高了搜索效率。 2.期刊论文 肖广.贺樑.滕国伟.石旭利.XIAO Guang.HE Liang.TENG Guo-wei.SHI Xu-li 一种改进的快速运动估计 算法 -计算机工程2008,34(8) 针对当前H.264/AVC典型快速运动估计算法缺少与其他编码特征相结合的情况,提出融合多参考帧选择和帧间模式判决的快速运动估计算法,综合考虑 整数变换与量化、矢量预测、搜索范围、参考帧及其各个块模式之间的相

45、关性.实验结果表明该算法在码率变化不大的条件下,可显著提高编码器的运算 速度. 3.学位论文 王谊波 基于起点预测的快速运动估计算法 2006 计算机技术和网络技术的发展和普及,大大推动了视频技术的发展和应用,数字视频技术在当前的信息社会中日益发挥着重要的作用。同时,视频 图像的编码和传输技术面临巨大的挑战。实时视频编解码技术已经成为研究的热点,运动估计在视频编码中占有50-80的运算量,因此,为了提高编 码器的速度必须首先提高运动估计算法的效率。运动估计从而成为这一领域最有挑战性的研究课题。由于视频的数据量巨大,为了满足视频在频带受限 的无线信道上传输的实时性要求,必须使用数据量压缩比大而且

46、复杂度小的快速编码算法,尽量用最少的数据传输最大的信息量。 基于块匹配的运动估计由于其简单易实现性而被当前的所有视频编码国际标准所采用。全搜索块匹配算法是最简单最直接的块匹配运动估计法,但 是由于其运算复杂度太高很难应用于实时视频编码中,从而业界提出了很多种快速块匹配运动估计方法。本文介绍了各种块匹配运动估计算法并进行了 总结和归类。首先介绍了运动估计中的块匹配准则,接着分类介绍了全搜索法和各种快速搜索法。 本文在六角形搜索算法(HS)的基础上,再根据视频序列图像帧相邻空间块和对应的运动向量的高度空间相关性,提出了一种新的用于块匹配的运动 估值搜索算法一预测六角形搜索算法(PHS)。该算法在运

47、动估值的过程中引入了预测运动向量的概念,最后通过实验验证了该算法的有效性。 试验表明,本文改进的算法与现有的算法相比较,在搜索速度和搜索效果方面有明显的优势。 4.期刊论文 宋传鸣.王相海.张福炎.SONG Chuan-Ming.WANG Xiang-Hai.ZHANG Fu-Yan 二值alpha平面辅助的视频 对象快速运动估计算法 -软件学报2008,19(4) 提出了一种任意形状视频对象的快速运动估计方法.详细分析了alpha平面在视频对象的快速运动估计过程中起到的指导性作用,采用边界扩展和边界 掩码技术,提出了一种新的二值alpha平面匹配衡量准则WBAMC (weighted bin

48、ary alpha-plane matching criterion).结合优先搜索策略,提出了二值 alpha平面辅助的视频对象快速运动估计算法BAAME(binary alpha-plane assisted motion estimation).首先,利用alpha平面和WBAMC准则,将边界宏块 的搜索范围缩小至两个搜索起点的单调区域,再采用传统的快速运动估计算法确定其运动向量;然后,用边界宏块的运动向量预测内部宏块的搜索起点;最 后,采用快速运动估计算法搜索内部宏块的运动向量.这种方法可与多种空间域和频率域运动估计算法相结合,有效地应用于基于对象的视频编码器中.实 验结果表明,对于多

49、种类型的标准测试视频流,BAAME算法始终能够保持较高的估计精度和主观质量,运动补偿的平均PSNR(peak signal-to-noise ratio)较DS(diamond search)和PSA(priority search algorithm)(BAAS(binary alpha-plane assisted search)+DS)高出0.1dB 0.8dB,略低于FS(full search),但是其计算复杂度与FS相比降低了20倍. 5.期刊论文 沈承东.李思昆.SHEN Cheng-dong.LI Si-kun 使用提前结束策略的部分失真搜索快速运动估计算法 - 计算机工程与科

50、学2007,29(9) 和传统的快速块匹配运动估计算法相比,部分失真搜索运动估计算法通常会带来较低的视频图像质量下降,但它获得的计算加速比有限.本文提出一种 新的快速部分失真搜索运动估计算法,它在搜索点检测过程中使用两种提前结束策略:早期搜索结束策略和中途搜索终止策略.提前结束策略的使用能够显 著减少搜索点的个数,弥补了规格化部分失真搜索算法只能降低失真计算量的不足,两种策略的结合使用能够在保证较高视频质量的同时,大大降低运动估 计的计算复杂性.实验结果及分析表明:新算法的性能优于传统的运动估计算法,在视频质量接近全局搜索算法的同时,获得比传统的运动估计算法更高的 计算加速比. 6.学位论文

51、吴杰杰 基于视频传输协议的预测和估计的研究基于H.264的快速运动估计算法的研究 2009 随着市场的需求,在尽可能低的存储情况下获得较好的图像质量和低带宽图像快速传输已成为视频压缩的两大难题。为此,ISO/IEC和ITU-T两大国 际标准化组织联手制定了新一代视频压缩标准H.264。正是因为H.264其良好的压缩性和网络友好性等新特点而成为目前视频存储和图像通信研究领域的 一个热点问题。实现H.264实时编码是其中一个很重要的研究方向,尤其在移动视频、视频会议、可视电话等视频实时应用场合具有非常重要的研究意义 和实用价值。 运动估计作为视频压缩框架中的关键技术,对视频压缩编码的质量和速度有着

52、举足轻重的影响。因此寻找一种快速、高效的运动估计算法成了视频压缩 领域的研究热点。如何对运动估计算法进行优化,降低编码复杂度,是本文研究的主要内容。本文正是以此为出发点,所作的工作主要有: 首先,简单介绍了数字视频编码标准在当今技术领域中的重要性以及数字视频编码标准的演进过程,接下来扼要评述了几种重要的视频编码标准。 其次,归纳总结了视频编码中常见的压缩技术,并对H.264中的新技术、新方案和改进的地方作总体的介绍。在前面分析的基础上,本文又深入研究了 几种经典的运动估计算法,并对各算法进行了详细的描述及分析,如全搜索算法(FS)、三步搜索法(TSS)、菱形搜索法(DS)、MVFAST搜索法及

53、 UMHexagonS搜索法。 最后,结合H.264编码特点,本文提出了一种改进的快速运动估计算法-八边形运动估计算法,该算法深入分析了快速运动估计算法中的若干关键技术问 题,借鉴一些快速运动估计搜索算法的优点,从搜索模式和加速策略方面加以改进的,提高了编码系统的搜索效率。该算法是一种混合型搜索法,在搜 索过程中采用了八边形模板、大小菱形模板及正方形模板相结合的方式,同时还采用了起点预测技术避免陷入局部最小和提前终止策略,避免错误搜索 带来的时间损耗。通过仿真实验验证了算法的可行性,采用本文算法可以节省大量搜索时间,使编码时间大大减少且不影响图像质量,弥补其他算法搜 索缓慢的缺点。 7.期刊论文 谭琳.李丽娟.TAN Lin.LI Li-juan 基于距离预测的快速自适应运动估计算法 -计算机工程与应用 2007,43(30) 已有的快速搜索算法中,绝大多数都不检查搜索区中所有候选项,所以,当视频序列中有快速或随机运动时,这些算法常导致搜索陷入局部最优.为了解 决这一问题,提出了一种估算当前搜索点和最佳

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论