电子系统设计.学生指导书_第1页
电子系统设计.学生指导书_第2页
电子系统设计.学生指导书_第3页
电子系统设计.学生指导书_第4页
电子系统设计.学生指导书_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

《电子系统设计》(2012–2013–2版)PAGEPAGE14《电子系统设计》学生指导书(2012–2013–2版)供学生参考使用第1部分《电子系统设计》概述1.1实训目的 随着大规模集成电路和电子计算机的迅速发展,电子系统设计方法发生了根本性变革。以电子计算机辅助分析与设计为基础的电子电路设计自动化技术已广泛用于电子系统的设计中。1.2实训内容 内容1:可编程逻辑器件开发与电子系统设计内容2:单片机开发与电子系统设计内容3:电子电路功能分析(*选作)1.3实训软件环境 (1)Altera公司可编程逻辑器件集成开发环境:QuartusII(2)单片机C51集成开发环境:KeilμVision2(3)电子电路功能分析软件:Multisim(*)1.4实训参考资料 (1)《电子系统设计》李金平等编著电子工业出版社 (2)《FPGA设计基础》王传新编著高等教育出版社(3)有关VHDL语言编程设计书籍(4)有关KeilμVision2单片机C51语言编程设计书籍(实训期间必备一本相关参考书)1.5实训总评成绩说明 总评成绩(满分100)=平时成绩(40%)+设计报告成绩(20%)+系统设计考核成绩(40%)第2部分系统可编程技术概述(参见《FPGA设计基础》P5)2.1可编程逻辑器件基本原理2.2高密度系统可编程逻辑器件概述2.3Altera可编程逻辑器件开发软件概述第3部分可编程逻辑器件开发方法1–硬件描述语言(参见《FPGA设计基础》P23)【示例】利用Altera公司QuartusII软件,并采用VHDL硬件描述语言设计方法,设计一个带异步清零十进制计数器。3.1VHDL硬件描述语言概述(参见《FPGA设计基础》P23)3.2创建工程(参见《FPGA设计基础》P23)3.3输入源文件(参见《FPGA设计基础》P20)3.4综合分析(参见《FPGA设计基础》P26)3.5逻辑功能仿真分析(参见《FPGA设计基础》P28)3.6生成RTL电路(参见《FPGA设计基础》P35)3.8锁定引脚(操作过程参见《FPGA设计基础》P36,掌宇下载板引脚号配置如下)3.9编程下载(操作过程参见《FPGA设计基础》P38,因掌宇下载板系统时钟50MHz,需修改程序,添加分频语句)第4部分可编程逻辑器件开发方法2–原理图(*选作)4.1基本设计流程(参见《FPGA设计基础》P49)【示例】利用Altera公司QuartusII软件,并采用图形设计方法,设计1位半加器单元电路。(注:只波形仿真,不下载。)4.2层次化设计(参见《FPGA设计基础》P55)【示例】利用Altera公司QuartusII软件,并采用层次化设计方法,设计4二进制加法器。(注:只波形仿真,不下载。)4.3混合层次化设计(参见《FPGA设计基础》P59)【示例】利用Altera公司QuartusII软件,并采用VHDL与原理图混合层次化设计方法,设计3位十进制计数器。(注:只波形仿真,不下载)第5部分可编程逻辑器件在电子系统设计中基本应用(层次化设计)5.1设计要求(参见《电子系统设计》P275)设计一个药片自动包装计数控制显示系统。药片通过透明的传送导管加到药瓶中,当药片挡住光电开关时,累计加上一个数。每计完24片药片,就完成1瓶药片的装瓶,机械手就自动将瓶盖拧上。5.2顶层设计方框图(参见《电子系统设计》P275)5.3掌宇开发系统数码管显示控制KH_Model.vhd(参考教材:无)libraryieee;useieee.std_logic_1164.all;entityKH_Modelisport(RST_PIO:instd_logic;SEL:instd_logic;A,B,C,D,E,F,G:instd_logic;nCS0_PIO:outstd_logic;nOE_PIO,nWE_PIO:outstd_logic;data_PIO:inoutstd_logic_vector(7downto0);add_PIO:outbit_vector(4downto0)); endKH_Model;architectureKH_Model_AofKH_ModelissignalSEG:std_logic_vector(7downto0);beginprocess(SEL,RST_PIO)beginSEG<=A&B&C&D&E&F&G&'0';if(RST_PIO='0')thennCS0_PIO<='1';nOE_PIO<='1';nWE_PIO<='1';elsenCS0_PIO<='0';nOE_PIO<='1';nWE_PIO<='0';if(SEL='1')thenadd_PIO<="11000";data_PIO<=SEG;elseadd_PIO<="11001";data_PIO<=SEG;endif;endif;endprocess;endKH_Model_A;设计说明:掌宇开发系统对于底板上七段数码管等是通过寄存器操作的,操作时序如图所示。5.4掌宇下载板引脚配置5.5设计演示(1)按SW4计数使能键,按下停止计数。(2)按SW6计数键,按1~24计数并显示。(3)按SW8,系统复位。第6部分可编程逻辑器件在电子系统设计中应用实训题目16.1出租车计程计价表设计任务书(参见《电子系统设计》P307)设计一个出租车计程计价表,基本要求:(1)具有车型设置功能设置00011011车轮直径520mm540m560mm580mm(2)具有起步里程设置功能设置000001010011100101110111起步里程3km4km5km6km7km8km9km10km(3)具有起步价设置功能设置00011011起步价5元6元8元10元(4)里程显示采用4位七段数码管,显示格式为×××.×公里。(5)计费显示采用4位七段数码管,显示格式为×××.×元。(6)出租车启动后,当行驶里程不超过起步里程,里程显示起步里程,计费显示起步价。(7)当行驶里程超过起步里程,里程显示实际行驶里程,计费作相应显示。(8)采用FPGA器件EP1C6Q240C8,开发系统采用QuartusII。其他要求:(1)起步里程后,白天(6:00~23:00)每公里按1.20元计费,晚间(23:00~6:00)每公里按1.80元计费(每公里加收50%车费)。(2)显示复位功能。(3)内部具有时间计时功能,并可选择显示(计时和计费可选择显示)。时间显示采用8位七段数码管,显示格式为××(小时)××(分)××(秒)。(4)可独立设置小时和分计时值。6.2掌宇下载板引脚配置6.3出租车计程计价表设计演示 (1)起步里程设置为5km,起步价设置为10元。 (2)按SW8键系统复位。 (3)按SW4键控制停止。 (4)百米指示灯闪烁指示第7部分可编程逻辑器件在电子系统设计中应用实训题目27.1程控波形发生器设计任务书(参见《电子系统设计》P480)利用FPGA设计一个程控波形发生器,基本要求: (1)具有产生正弦波周期性波形的功能; (2)具有产生方波周期性波形的功能; (3)具有产生三角波或锯齿波周期性波形的功能; (4)具有波形存储功能; (5)输出波形频率范围100Hz~10kHz; (6)输出波形幅度范围为﹣5V~5V。 其他要求: (1)输出波形频率范围100Hz~10kHz,重复频率可调,频率步进间隔≤100Hz; (2)输出波形幅度范围为﹣5V~5V,幅度可调,幅度步进间隔为0.1V。 (3)具有选择产生正弦波、方波、三角波或锯齿波周期性波形的功能; (4)具有显示输出波形类型、重复频率和幅度功能;7.2硬件电路(参见《电子系统设计》P198、P480) (1)SOPC扩展端口连接 (2)数模转换电路(参见《电子系统设计》P199)(a)(b)7.3定制LPM_ROM元件(参考《FPGA设计基础》P124) 利用MegaWizardPlug﹣InManager,参见《FPGA设计基础》P124。7.4掌宇下载板引脚配置7.5程控波形发生器设计演示(a)(b)7.6硬件电路元器件清单序号元器件型号和规格数量1DAC0832数模转换器12IC插座DIP2013LM324运算放大器14IC插座DIP14151/8W碳膜电阻(5%)7.5kΩ161/8W碳膜电阻(5%)15kΩ271/8W碳膜电阻(5%)100Ω18电容0.1μF/16V19通用焊接板(单面,3连通孔)1108针单排插件211数据端口插接线8(根)12电源插接线4(根)第8部分单片机在电子系统设计中应用8.1波形发生器设计任务书(参见《电子系统设计》P480)利用单片机设计一个波形发生器,基本要求: (1)具有产生正弦波周期性波形的功能; (2)具有产生方波周期性波形的功能; (3)具有产生三角波或锯齿波周期性波形的功能; (4)具有波形存储功能; (5)输出波形频率范围100Hz~1kHz; (6)输出波形幅度范围为﹣5V~5V。 (7)自拟。 (2)数模转换电路(参见《电子系统设计》P199)(a)(b) (3)单片机最小系统电路连接(参见《电子系统设计》P346)8.2单片机开发软件KeilμVision2基本操作步骤1:新建一个工程项目文件。步骤2:创建设计源程序文件。步骤3:把源程序文件添加到项目中。步骤4:编译连接环境设置。步骤5:编译C51语言设计源程序。步骤6:51系列单片机器件ISP下载。8.3波形发生器设计指定8.4硬件电路元器件清单序号元器件型号和规格数量1ATMEL单片机AT89S5212IC插座DIP4013晶振12M14独石电容30pF/50V25铝电解电容10uF/50V261/8W碳膜电阻(5%)8.2kΩ17轻触按钮开关181/8W碳膜电阻(5%)300Ω19发光二极管1105×2针接插座(CONNSCOKET5×2)112DAC0832数模转换器113IC插座DIP20114LM324运算放大器115IC插座DIP141161/8W碳膜电阻(5%)7.5kΩ1171/8W碳膜电阻(5%)15kΩ2181/8W碳膜电阻(5%)100Ω119电容0.1μF/16V120通用焊接板(单面,3连通孔)1218针单排插件222数据端口插接线8(根)23电源端口插接线4(根)第9部分电子电路仿真分析方法(*)9.1直流工作点分析(参见《电子系统设计》P102) 【例3.2】分压式工作点稳定共发射极放大电路如图3.52所示,试仿真分析其直流工作点。 源程序:Multisim主要分析功能\直流工作点分析。9.2交流分析(参见《电子系统设计》P104) 【例3.3】共发射极放大电路如图3..55所示,试用交流分析求出电路的频率特性曲线,仿真分析电路的通频带和电压增益。 源程序:Multisim主要分析功能\交流分析。9.3瞬态分析(参见《电子系统设计》P105)【例3.4】分析图3.58所示一阶RC电路中输出节点2的波形。 源程序:Multisim主要分析功能\瞬态分析。9.4傅里叶分析(参见《电子系统设计》P107)【例3.5】已知阻容耦合共发射极放大电路如图3.61所示,试利用傅里叶分析方法,分析输出电压谐波失真系数D。源程序:Multisim主要分析功能\傅里叶分析。9.5失真分析(参见《电子系统设计》P110)源程序:Multisim主要分析功能\失真分析。9.6直流扫描分析(参见《电子系统设计》P110)【例3.7】用直流扫描分析法,显示BJT晶体管2N2222A的共射输出特性曲线。源程序:Multisim主要分析功能\直流扫描分析。9.7DC和AC灵敏度分析(参见《电子系统设计》P112)【例3.8】分压电路如图所示,试用直流灵敏度分析方法,分析节点2输出电压受电路中元件或电源变化的影响程度。源程序:Multisim主要分析功能\

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论