数字电子技术 第六版 课件 6ch3 计数器_第1页
数字电子技术 第六版 课件 6ch3 计数器_第2页
数字电子技术 第六版 课件 6ch3 计数器_第3页
数字电子技术 第六版 课件 6ch3 计数器_第4页
数字电子技术 第六版 课件 6ch3 计数器_第5页
已阅读5页,还剩81页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第6章时序逻辑电路6.3

计数器6.3.1异步计数器6.3.2同步计数器6.3.3集成计数器综合应用举例

计数器用于统计输入计数脉冲CP个数的电路。它主要由触发器组成。

计数器分类如下:按计数进制分按二进制数运算规律进行计数的电路按十进制数运算规律进行计数的电路二进制计数器十进制计数器任意进制计数器(又称N进制计数器)二进制和十进制以外的其它进制计数器计数器的作用与分类按计数增减分加法计数器

减法计数器

加/

减计数器(又称可逆计数器)随着计数脉冲的输入作递增计数的电路。随着计数脉冲的输入作递减计数的电路。

在加/减控制信号作用下,可递增计数、也可递减计数的电路。按计数器中触发器翻转是否与CP同步分异步计数器同步计数器

计数脉冲CP只加到部分触发器的时钟脉冲输入端上,而其它触发器的触发信号则由电路内部提供,应翻转的触发器状态更新有先有后的计数器。

计数脉冲CP同时加到所有触发器的时钟脉冲输入端上,使应翻转的触发器同时翻转的计数器。0008111701161015001411030102100100003位二进制加法计数器

计数规律举例3位二进制减法计数器

计数规律举例“000

-1”不够减,需向相邻高位借“1”作2,借“1”后的运算为:“1000

-

1=111”。Q0Q1Q2计数器状态计数顺序Q0Q1Q2计数状态计数顺序0008100701061105001410130112111100008421码十进制加法计数器计数规律Q0Q1Q2Q3计数器状态计数顺序10019000181110701106101050010411003010021000100001000000计数的最大数目称为计数器的“模”,用

M

表示。

模也称为计数长度或计数容量。

N进制

计数器计数规律举例具有5个独立的状态,计满5个计数脉冲后,电路状态自动返回到初始的000状态进入循环。故为五进制计数器。

五进制计数器也称模5计数器;十进制计数器则为模10计数器;3位二进制计数器为模8计数器。n

个触发器有2n

种输出状态,最多可实现模2n

计数。

Q0Q1Q2计数状态计数顺序000500141103010210010000JK触发器组成的4位二进制加法计数器。FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRD6.3.1异步计数器

一、异步二进制计数器1.异步二进制加法计数器11J1K1J1K1J1K1J1KC1CPC1Q0C1Q1C1Q2

依次输入脉冲时,计数状态按

4位二进制数递增规律变化。00010010CPQ3Q0Q1Q2000011110000

输入第1个计数脉冲时,计数器输出为0001;输入第2个计数脉冲时,计数器输出为0010。输入第15个脉冲时,输出1111,当输入第16个脉冲时,输出返回初态0000,且Q3

端输出进位信号下降沿。因此,该电路构成4位二进制加法计数器。◆

工作原理

其工作原理与前述JK

触发器所构成的二进制计数器的相同。不同的是用CP

上升沿触发计数。FF01DRC1Q0Q1Q2Q3FF11DRC1FF21DRC1FF31DRC1CPRDQ0Q1Q2Q31D1D1D1DC1CPQ0Q1Q2C1C1C1与

JK

触发器一样,D

触发器也可组成二进制加法计数器。与

JK

触发器不同的是,

D

触发器用上升沿触发。知识拓展D触发器构成异步二进制加法计数器FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRD

由JK触发器构成的

4位异步二进制减法计数器Q0Q1Q2Q32.JK触发器组成的4位异步二进制减法计数器C1CP11J1K1J1K1J1K1J1KC1Q0Q1C1Q2C1只要将输出由Q改为Q,则加法计数器便改为减法计数器。

依次输入脉冲时,计数状态按

4位二进制数递减规律变化。11111110CPQ3Q0Q1Q2000000010000

输入第1个计数脉冲时,计数器输出为1111;输入第2个计数脉冲时,计数器输出为1110。输入第15个脉冲时,输出0001,当输入第16个脉冲时,输出返回初态0000。因此,该电路构成4位二进制减法计数器。◆

工作原理00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计数器状态计数顺序◆

4位二进制加法计数器状态表

在相同位数的计数器中,二进制计数器的计数容量最大。3位二进制计数器最大计数容量为23

=8,可计0~7八个数,加适当的反馈电路可构成8以内的任何进制计数器;4位二进制计数器最大计数容量为24=16,可计0~15十六个数,可构成16以内的任何进制的计数器,其余以此类推。因此,2n计数器是构成2n以内的任意进制计数器的基础,但也降低了有效状态的利用率。功能点评二、

异步

十进制加法计数器在计数脉冲CP作用下,计数器从0000开始计数。计数过程中,与非门输出高电平1,RD=1。当输入第十个计数脉冲CP时,计数器的状态为1010,这时,Q3和Q1同时为高电平1,与非门输出变为低电平0,即RD=0,使计数器立即回到初始的0000状态。从而实现了8421BCD码的十进制加法计数。此后,与非门输出高电平1,计数器又可开始新一轮计数。

上图是利用异步置0功能构成的十进制加法计数器。同样可利用异步置0功能构成N进制(又称任意进制)计数器,其方法是:在计数到N时,将计数器输出Q中为高电平1的信号通过反馈控制门产生置0信号,使计数器回到初始的0状态,从而实现N进制计数。如异步置0信号为低电平有效时,则反馈控制门采用与非门;如置0信号为高电平有效时,则反馈控制门采用与门。功能点评

将4位二进制计数器改为十四进制计数器。由于计到14时,计数器的输出状态为Q3Q2Q1Q0=1110,这时输出Q3Q2Q1均为高电平1。为得到低电平的置0信号,反馈控制门应采用与非门。因此,反馈归零函数RD=Q3Q2Q1

。对于同步二进制计数器,利用反馈归零法构成任意进制计数器同样适用。[例]利用异步置0功能构成十四进制计数器Q0Q1Q2Q374LS290M=5CP0M=2CP1CP0CP1Q0Q1Q2Q3R0AR0BS9AS9B12131384591011R0AR0BS9AS9B

三、集成异步计数器74LS290R0AR0B异步清零输入端

S9AS9B异步置

9输入端内含一个

1位二进制计数器和一个五进制计数器。M=2M=5二进制计数器的计数脉冲输入端,下降沿触发。

二进制计数器输出端五进制计数器的计数脉冲输入端,下降沿触发。

五进制计数器的输出端,从高位到低位依次为Q3、Q2、Q1。

74LS290的功能表10异步清零0000××1异步清零0000×01Q0Q1Q2Q3CP1S9AR0A

说明输出输入R0AS9A5421码十进制计数五进制计数二进制计数Q0和CP1相连0S9A·S9B=0R0A·R0B=01×01×1××CP0CP××××1×1010011001CP0Q0CPCPQ38421码十进制计数Q3和CP0相连异步置9异步置9××××(1)

异步置

0

功能:当

R0=R0A·R0B=1、S9=S9A·S9B=0

时,计数器异步清零。1.逻辑功能74LS290的功能表10异步清零0000××1异步清零0000×01Q0Q1Q2Q3CP1S9AR0A

说明输出输入R0AS9A5421码十进制计数五进制计数二进制计数Q0和CP1相连0S9A·S9B=0R0A·R0B=01×01×1××CP0CP××××1×1010011001CP0Q0CPCPQ38421码十进制计数Q3和CP0相连异步置9异步置9××××1.逻辑功能(2)

异步置

9功能:当

S9=S9A·S9B=1、R0=R0A·R0B=0

时,计数器异步置9。74LS290的功能表10异步清零0000××1异步清零0000×01Q0Q1Q2Q3CP1S9AR0A

说明输出输入R0AS9A5421码十进制计数五进制计数二进制计数Q0和CP1相连0S9A·S9B=0R0A·R0B=01×01×1××CP0CP××××1×1010011001CP0Q0CPCPQ38421码十进制计数Q3和CP0相连异步置9异步置91.逻辑功能(3)

计数功能:当

R0A·R0B=0

且S9A·S9B=0

时,74LS290处于计数工作状态。由于74LS290为高电平1置

0,因此,利用其异步置0

功能获得N

进制计数时,应取S9A=S9B=0,这样,在输入第N个计数脉冲CP时,将计数器输出Q3、Q2、Q1、Q0端中为高电平1的信号通过与门输出的高电平

1

加到异步置

0端R0A和R0B上,使计数器置0,从而实现N进制计数。2.利用异步置0

功能获得N进制(任意进制)计数器步

用S1,S2,…,SN表示输入1,2,…,N个计数脉冲CP时计数器的状态。(1)

写出N进制计数器输出状态SN的二进制代码。(2)

写出反馈归零函数。根据SN写置0

端的逻辑表达式。(3)

画连线图。主要根据反馈归零函数画连线图。Q0Q1Q2Q374LS290CP0CP1R0AR0BS9AS9B

R0=Q2Q1(3)

画连线图计数输入输出使R0=R0A·R0B

=Q2Q1

读数的高低位依次为Q3Q2Q1Q0置9端S9A

、S9B

不用,应接地。[例]

试用

74LS290构成六进制计数器。(1)

写出S6

的二进制代码S6=0110解:(2)

写出反馈归零函数表达式应根据S6=0110

和74LS290的异步置0

功能写出。由于

R0=R0A·R0B高电平有效,因此,R0=R0A·R0B=Q2Q1。R0=Q2Q1Q0(3)

画连线图:将S9A和S9B接地。[例]

试用

74LS290构成七进制计数器。(1)

写出S7

的二进制代码S7=0111解:(2)

写出反馈归零函数表达式Q0Q1Q2Q374LS290CP0CP1R0AR0BS9AS9B计数输入&输出

两片“290”接成十进制加法计数器后级联,计数脉冲从个位片CP0

端输入。[例]

由两片74LS290级联组成一百进制异步加法计数器。Q0

Q1

Q2

Q3

74LS290

(十位)CP1CP0R0AR0BS9AS9BQ0Q1Q2Q374LS290

(个位)CP1CP0R0AR0BS9AS9B计数输入计数输出当输入第1~9个脉冲时,个位片计数;十位片的CP0

未出现CP脉冲下降沿,因而保持计数“0”状态不变。当输入第10个脉冲时,个位片返回“0”状态,其Q3

输出一个下降沿使十位片计数加“1”,因此,输出读数为Q3

Q2

Q1

Q0

Q3

Q2

Q1

Q0=00010000,即计数为“10”。[例]由两片74LS290级联组成一百进制异步加法计数器。Q0

Q1

Q2

Q3

74LS290

(十位)CP1CP0R0AR0BS9AS9BQ0Q1Q2Q374LS290

(个位)CP1CP0R0AR0BS9AS9B计数输入计数输出

当输入第11~19个脉冲时,仍由个位片计数,而十位片保持“1”不变;当输入第20个脉冲时,个位片返回“0”状态,其Q3

输出第二个下降沿使十位片计数“2”,即计数“20”。当输入第100个脉冲时,个位片和十位片同时返回到“0”状态,而由Q3

输出进位信号的下降沿。即计数100。

依此类推。

综上所述,该电路构成一百进制异步加法计数器。同步与异步二进制加法计数器比较状态表和工作波形一样。电路结构不同:

异步二进制加法计数器的电路组成:将触发器接成计数触发器;最低位触发器用计数脉冲

CP触发,其他触发器用低位输出的下降沿(或上升沿)触发。

同步二进制加法计数器的电路组成:将触发器接成T触发器;各触发器都用计数脉冲

CP触发,最低位触发器的T

输入为

1,高位触发器的

T

输入为其低位各触发器输出信号相与,只有低位触发器输出都为1时,高位触发器的状态在CP脉冲作用下才会改变。6.3.2同步计数器

00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计数器状态计数顺序根据状态表分析同步二进制加法计数规律Q0每输入一个CP,状态就翻转一次。

Q1在其低位Q0输出为1

时,来一个时钟CP状态就翻转一次,否则不变。

Q2在其低位Q0和Q1均为1

时,来一个时钟CP状态翻转一次,否则不变。

Q3在其低位Q0、Q1和Q2均为1

时,来一个时钟CP状态翻转一次,否则不变。1、同步二进制加法计数器

因此,应将各触发器接成

T

触发器;并接成T0=1,

T1=Q0n,

T2=Q1nQ0n,

T3=Q2nQ1nQ0n。即:最低位触发器

T输入为

1,其他触发器

T

输入为其低位Q输出信号相与。这样,各触发器当其低位输出信号均为1

时,来一个时钟CP状态就翻转一次,否则不变。00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计数器状态计数顺序10根据状态表分析同步二进制加法计数规律FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCORDRRRR计数开始前先清零CPC1C1C1C1

各触发器都用CP

触发1.同步二进制加法计数器一、同步二进制计数器FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCOCO=Q3nQ2nQ1nQ0nJ2

=K2=Q1nQ0nJ0

=K0=1J1

=K1=Q0n1.写方程式(1)

输出方程(2)

驱动方程J3

=K3=Q2n

Q1nQ0nFF01J1K1FF11J1KQ0nFF21J1KQ0nQ1n&&FF31J1KQ0nQ2n&&Q1n1.写方程式Q0n+1

=J0Q0n+K0Q0n=

1

Q0n+1

Q0n=Q0nQ1n+1

=J1Q1n+K1Q1nQ2n+1

=J2Q2n+K2Q2nJ0K0J1K1J2K2(3)

状态方程=

Q0nQ1n

+Q0n

Q1nQ3n+1

=J3Q3n+K3Q3n=

Q2n

Q1nQ0nQ3n+Q2nQ1nQ0n

Q3nJ3K3=

Q1nQ0nQ2n+Q1n

Q0n

Q2nFF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCOFF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCO设计数器从0000开始计数。2.列状态转换真值表设电路初始状态为Q3Q2Q1Q0=0000,则0011010010100000010110110001COQ0n+1Q1n+1Q2n+1Q3n+1Q0nQ1n输出次态现态00010000100010100001001001000000111011100110100000Q2nQ3n0001011010005432计数脉冲序号019876001101001010001000010010010000001110111001101001011010111015141312

电路在输入第十六个计数脉冲CP

后,返回到初始的0000状态,同时进位输出端CO输出一个负跃变的进位信号。因此,该电路为十六进制加法计数器。2.同步二进制减法计数器00001610001501001411001300101210101101101011109000181001701016110150011410113011121111100000Q0Q1Q2Q3计数器状态计数顺序

由状态表可知,要实现4位二进制减法计数,必须在输入第一个减法计数脉冲时,电路的状态由0000变为1111。为此,将二进制加法计数器中触发器的输出信号由

Q端控制改为Q端控制,即可构成同步二进制减法计数器。同步与异步二进制加法计数器比较状态表和工作波形一样。电路结构不同:

异步二进制加法计数器的构成方法:将触发器接成T´触发器;最低位触发器用计数脉冲

CP触发,其他触发器用低位输出的下降沿(或上升沿)触发。

同步二进制加法计数器的构成方法:将触发器接成

T触发器;各触发器都用计数脉冲

CP触发,最低位触发器的T

输入为

1,其他触发器的

T

输入为其低位各触发器输出信号相与。6.3.2同步计数器

在异步二进制计数器中,高位触发器只有接收到低位触发器送来的进位或借位信号时,状态才会翻转,各级触发器的状态是逐级更新的,完成一次计数的时间为各触发器翻转时间的和;而在同步二进制计数器中,各级触发器状态的更新是同时的,完成一次计数的时间为一个触发器的翻转时间,因此,同步计数器的计数速度比异步计数器快得多,使用更普遍。异步计数器只有在计数速度很低的情况下才采用。功能点评74LS161CPQ0Q1Q2Q3COD074LS161和74LS163逻辑功能示意图74LS163CTTCTPCRLDD1D2D3CRLD14131211654391151472计数状态输出端,从高位到低位依次为

Q3、Q2、Q1、Q0。进位输出端并行置数数据输入端。计数脉冲输入端,上升沿触发。计数控制端,高电平有效。

CR

为异步清零控制端,低电平有效。

LD为同步置数控制端,低电平有效。3、集成同步二进制计数器74LS161和74LS163CO=Q3Q2Q1Q0

异步清零0保持×××××0×11保持××××××011计数××××1111d0d1d2d3d0d1d2d3××0100000××××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR

说明输出输入74LS161的功能表

CR=0

时,不论有无CP

和其他信号输入,计数器被置0。00000d0d1d2d3d0d1d2d301

CR=1、LD=0

,在CP

上升沿到来时,并行输入的数据d3~d0被置入计数器。

CR=LD=CTT=CTP=1

时,在计数脉冲的上升沿作用下进行4位二进制加法计数。CO=0,

在计数至“1111”时,CO

=1,可作高位计数器CTT、CTP的级联号。111100

CR=LD=1,且CTT和CTP中有0

时,计数器状态保持不变。1111同步置数CO=0(1)异步清零功能:CR为低电平时,计数器置0,置0信号优先其他所有输入信号。

(2)同步并行置数功能:LD为低电平0时,计数器同步置数。

(4)计数功能:CR

=LD=CTT=CTP=1时,计数器在CP上升沿作用下计数。(3)保持功能:CR

=LD=1,CTT

和CTP

中有0时,计数器状态不变74LS161的功能表

CO=Q3Q2Q1Q0

异步清零0保持×××××0×11保持××××××011计数××××1111d0d1d2d3d0d1d2d3××0100000××××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR

说明输出输入1

逻辑功能同步置数74LS161与74LS163的功能比较

CO=Q3Q2Q1Q0

同步清零0保持×××××0×11保持××××××011计数××××1111d0d1d2d3d0d1d2d3××0100000×××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR

说明输出输入74LS163CO=Q3Q2Q1Q0

异步清零0保持×××××0×11保持××××××011计数××××1111d0d1d2d3d0d1d2d3××0100000××××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR

说明输出输入74LS161

74LS161与

74LS163的差别是:“161”为异步清零,“163”为同步清零。其他功能及管脚排列完全相同。同步置数同步置数电路应用提示

74LS161具有异步置零、同步置数、保持和计数四种功能。其中异步置0优先级别最高,其次是同步置数,第三是保持,计数级别最低。在计数过程中,根据功能优先顺序,工作分三种情况:

①如CR端出现低电平置0

信号时,计数器立即终止计数并被强迫置0。

②如CR=1

,如LD端出现低电平同步置数信号时,计数器并不能置数,只有在下一个CP上升沿作用下,才能迫使D3~D0输入的数据置入计数器。

③如CR=LD=1

,如出现CTP或CTT或CTP和CTT同时为低电平时,则计数器停止计数,并保持输出状态不变。

计数器可以从0000状态开始计数,也可从置入的预置数状态开始计数。当从0000状态开始计数时,直至计满1111后,再返回0000状态,实现十六进制计数,此后又开始新的计数循环。4.利用同步置数功能获得N进制计数器步

骤(1)

写出N进制计数器输出状态SN-1的二进制代码。(2)

写出反馈置数函数。根据SN-1写出同步置数控制端的逻辑表达式。(3)

画连线图。主要根据反馈置数函数画连线图。利用同步置数功能置入计数起始数据,通常取D3D2D1D0=0000,并置入计数器,在输入第N-1个计数脉冲

CP时,将计数器输出Q3、Q2、Q1、Q0端中的高电平1

通过与非门输出的低电平0

加到同步置数端LD上,这样,在输入第N个计数脉冲时,D3~D0端输入的数据被置入计数器,使其返回到初始的预置数状态,从而实现N进制计数。74LS161Q0Q1Q2Q3COD0CTTCTPCRLDD1D2D3CP(3)画连线图。将D0~D3接地。计数输入输出端

设计数器从Q3Q2Q1Q0=0000状态开始计数。因此,应取D3D2D1D0=0000。解:(1)写出S10-1

的二进制代码。S10-1=S9=1001(2)写出反馈置数函数。1&LD=Q3Q0[例]试用

74LS161的同步置数功能构成十进制计数器。11(3)画连线图。D0~D3接任意数据解:(1)写出S10

的二进制代码。S10=1010(2)写出反馈归零函数。CR=Q3Q1[例]

试用

74LS161的异步置0功能构成十进制计数器。74LS161Q0Q1Q2Q3COD0CTTCTPCRLDD1D2D3CP计数输入输出端1&1由S50=00110010可得计数器异步清零端的反馈归零函数为CR=Q1

Q0

Q1

。这时,与非门输出低电平0,计数器被置零,从而实现了五十进制计数。[例]由两片74LS161级联组成的五十进制计数器。CPCOD0CTTCTPCRLDD1D2D3Q0Q1Q2Q3174LS161(1)××××COD0CTTCTPCRLDD1D2D3Q0

Q1

Q2

Q3

74LS161(2)&××××计数输入利用同步置0功能获得N进制计数器的方法和同步置数相同。即在CP端输入N-1个计数脉冲时,将计数器输出Q3~Q0端中的高电平1通过与非门输出的低电平0加到同步置0端CR,这时计数器并不能被置0,这样,在输入第N个计数脉冲时,计数器被置0,回到初始的0状态,从而实现N进制计数。因此,应根据输入N-1个计数脉冲时计数器Q3~Q0输出的高电平写出CR的反馈函数。应当指出,利用同步置0功能获得N进制计数器时,D0~D3端可接任意数据。

5.利用同步置0功能获得N进制计数器(3)画连线图。D0~D3接任意数据解:(1)写出S10-1

的二进制代码S10=S9=1001(2)写出反馈归零函数CR=Q3Q0[例]

试用

74LS163的同步置0功能构成十进制计数器。CT74LS163Q0Q1Q2Q3COD0CTTCTPCRLDD1D2D3CP××××输出端11&6、同步二进制加/减计数器讨论JK触发器组成的二进制计数器的工作原理时已经知道:如从Q端输出信号为加法计数器,如从Q端输出信号时为减法计数器。在加/减计数器中,JK

触发器都是共用的。因此,实现加/减计数的关键是加入组合逻辑电路,在加/减控制信号的作用下,可以将Q或Q端加到相邻高位触发器的CP输入端上。这样,就实现了加/减计数。

二、同步十进制加法计数器

同步十进制加法计数器通常是在四位同步二进制加法计数器的基础上经过适当的修改获得的。也可利用反馈归零法和反馈置数法将四位同步二进制加法计数器构成同步十进制同步加法计数器,在前面已有介绍,这里不再重复。FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCO二、同步十进制加法计数器RDRRRR计数开始前先清零CPC1C1C1C1

各触发器都用CP

触发FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCOFF01J1K1FF11J1KQ0nQ3n&Q3nQ0nFF31J1KQ1nQ2n&Q0nFF21J1KQ0nQ1n&&设计数器从0000状态开始计数。由图可看出:J0=K0=1,FF0为T´触发器J1=Q3Q0、K1=Q0,在FF3为0态时Q3=1,J2=K2=Q2Q0,FF1和FF2

都为T触发器

故FF0~FF2组成三位二进制计数器。当计到7时,Q3Q2Q1Q0=0111,这时J3=Q2Q1Q0=1,K3=Q0=1,FF3为T´触发器。当输入第8个CP时FF3由0态翻到1态,Q3Q2Q1Q0=1000状态,这时Q3=0,使J1=K1=0、J2=K2=0,J3=K3=0。这时,只有FF0具备翻转条件。当输入第9个CP时计数器状态为1001,这时CO=1,J1=0,K1=1、J2=K2=0,J3=0、K3=1.输入第10个CP时,计数器翻回到初使的0000状态。CO由1变0,同时CO输出一个负跃变的进位信号。从而实现了十进制计数CO=Q3nQ0nJ2

=K2=Q1nQ0nJ0

=K0=1J1

=Q3n

Q0n,K1=Q0n1.写方程式(1)

输出方程(2)

驱动方程FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCOFF01J1K1FF11J1KQ0nQ3n&Q3nQ0nFF31J1KQ1nQ2n&Q0nFF21J1KQ0nQ1n&&J3

=Q2n

Q1nQ0n,K3=Q0n具体分析1.写方程式Q0n+1

=J0Q0n+K0Q0n=

1

Q0n+1

Q0n=Q0nQ1n+1

=J1Q1n+K1Q1nQ2n+1

=J2Q2n+K2Q2nJ0K0J1K1J2K2(3)

状态方程=

Q3nQ0nQ1n

+Q0n

Q1nFF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11CPRDCOQ3n+1

=J3Q3n+K3Q3n=

Q2n

Q1nQ0nQ3n+Q0n

Q3nJ3K3=

Q1nQ0nQ2n+Q1n

Q0n

Q2n2.列状态转换真值表设电路初始状态为Q3Q2Q1Q0=0000,则电路在输入第十个计数脉冲后返回到初始的0000状态,同时CO

向高位输出一个下降沿的进位信号。因此,该电路为同步十进制加法计数器。0011010010100000010110110001COQ0n+1Q1n+1Q2n+1Q3n+1Q0nQ1n输出次态现态00010000100010100001001001000000111011100110100000Q2nQ3n0001011010005432计数脉冲序号019876正如“161”与“163”一样,“160”与“162”的差别是:“160”为异步清零,“162”为同步清零;“160”与“162”的管脚以及其他功能完全相同。74LS160CPQ0Q1Q2Q3COD074LS162CTTCTPCRLDD1D2D3CRLD14131211146543912710

三、集成同步十进制计数器1、集成同步十进制计数器74LS160和74LS162

CO=Q3Q0

异步清零0保持×××××0×11保持××××××011计数××××1111d0d1d2d3d0d1d2d3××0100000××××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR输出输入

CO=Q3Q0

同步清零0保持×××××0×11保持××××××011计数××××1111d0d1d2d3d0d1d2d3××0100000×××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR输出输入74LS160与74LS162的功能表

74LS16074LS162×

进位输出CO

在输入第9个计数脉冲时为高电平,在输入第10个计数脉冲时输出下降沿。同步置数同步置数

74LS160CPQ0Q1Q2Q3COD0

74LS162CTTCTPCRLDD1D2D3CRLD

74LS161CPQ0Q1Q2Q3COD0

74LS163CTTCTPCRLDD1D2D3CRLD十进制计数器

74LS160(162)与二进制计数器

74LS161(163)

比较

◆逻辑符号形式一样。

◆输入端用法一样。

◆“160(162)”输出1位8421BCD码;

“161(163)”输出4位二进制数。74LS160(162)的计数状态表

00001010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计数器状态计数顺序00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计数器状态计数顺序74LS161(163)的计数状态表

[例]试用74LS160的同步置数功能构成七进制计数器。S7-1=S6=0110解:(1)写出S7-1

的二进制代码。(2)写出反馈置数函数。(3)画连线图。D0~D3接地(0)LD=Q2Q1

设计数器从Q3Q2Q1Q0=0000状态开始计数。因此,应取D3D2D1D0=0000。CT74LS160Q0Q1Q2Q3COD0CTTCTPCRLDD1D2D3CP1&1Q0Q1Q2Q3[例]由两片74LS160级联组成一百进制同步加法计数器。个位片74LS160在计到9以前,其进位CO=Q3Q0=0,十位片74LS160的CTT=0,保持原状态不变。当个位片计到9时,其输出

CO=1,即十位片的CTT=1,由于CTP

=1

,这时,十位片接收CP

端输入的计数脉冲。所以,输入第10个计数脉冲时,个位片回到“0”状态,同时使十位片加1

。其余类推。因此,该电路构成一百进制同步加法计数器。CPCOD0CTTCTPCRLDD1D2D3Q0Q1Q2Q3174LS160

(个位)××××COD0CTTCTPCRLDD1D2D3Q0

Q1

Q2

Q3

74LS160

(十位)××××计数输入计数输出CPCOD0CTTCTPCRLDD1D2Q0Q1Q2Q3174LS160(个位)1COD0CTTCTPCRLDD1D2D3Q0

Q1

Q2

Q3

174LS160(十位)&计数输入D3[例]由两片74LS160级联组成的二十四进制计数器。由于采用计数器的同步置数功能,当计到23时,计数器的输出状态为Q3

Q2

Q1

Q0

Q3Q2

Q1

Q0=00100011,其反馈置数函数为LD=Q1

Q1Q0,这时,与非门输出低电平0

,使LD=0。当输入第24个计数脉冲CP时,D3~D0输入的数据0000又被置入计数器,使计数器返回到初始的0000状态,从而实现了二十四进制计数。74LS192CPUQ0Q1Q2Q3COD074LS192逻辑功能示意图BOLDD1D2D3CPDLDCR14111511091213326745

CR

为异步置0控制端,高电平有效。

LD为异步并行置数控制端,低电平有效。并行数据输入端进位输出端计数器输出端,从高位到低位依次为

Q3、Q2、Q1、Q0。借位输出端加计数时钟输入端减计数时钟输入端2、集成同步十进制加减计数器74LS192CT74LS192的主要功能:

(1)异步清零功能:当

CR=1时,计数器立即置0,置0信号优先其他输入信号(3)加计数功能:

CR=0、LD=1、CPD=1、CPU

输入

CP↑

,进行加计数。

(5)保持功能:

CR=0、LD=1、CPU=CPD=1,计数器状态不变。

CT74LS192的功能表异步置数×110保持××××1减计数××××110d0d1d2d3d0d1d2d3100

加计数×××××01Q0Q1Q2Q3D0D1D2D3CPDCPULDCR

说明输出输入(2)异步置数功能:只要

CR=0、LD=0,

D3~D0端输入数据便置入计数器。××1×××××0000异步置0(4)减计数功能:

CR=0、LD=1、CPU=1、CPD输入CP↑,进行减计数。

74LS192为具有异步置0、异步置数、保持和加/减计数四种功能的同步十进制加/减计数器。其中异步置0优先级别最高,其次是异步置数,第三是保持,计数级别最低。如在计数过程中同时出现CPD

=1、

CPU=1

时,将停止计数,并保持输出状态不变。当进行多位十进制加法计数时,计数脉冲CP由CPU端输入,进位输出端CO和相邻高CPU端相连;当进行多位十进制减法计数时,计数脉冲CP由CPD端输入,借位输出端BO和相邻高位的CPD端相连。电路应用提示

利用异步置数功能获得N进制计数器的方法和异步置0相同。在CP端输入第N个计数脉冲时,将计数器输出Q3~Q0端中的高电平1通过与非门输出的低电平0加到异步置数端LD上,使D0~D3端输入的数据立即置入计数器,从而实现N进制计数。因此,应根据输入N个计数脉冲时Q3~Q0端输出的高电平1,写出LD的反馈置数函数。应当指出,利用置数功能构成N进制计数器时,D0~D3端必须接入计数起始数据。通常取0000。3.利用异步置数功能获得N进制计数器[例]

试用74LS192的异步置数功能构成九进制加法计数器。S9=1001解:设计数器从Q3Q2Q1Q0=0000状态开始计数,因此,应取D3D2D1D0=0000。计数脉冲CP由CPU端输入。①写S9

的二进制代码。②写出反馈置数函数。③画逻辑图。D0~D3接地(0)。LD=Q3Q0

由于74LS161输出Q2、Q1、Q0的状态按自然二进制序态从000~111

循环变化,因此它可作为译码器74LS138的3位二进制代码输入,分别与A2、A1、A0对应相连。这时电路在输入计数脉冲CP作用下,译码器的Y0~Y7依次输出低电平顺序脉冲。

一、顺序脉冲发生器6.3.3集成计数器的综合应用

一、顺序脉冲发生器

为了防止产生竞争冒险现象,将计数脉冲CP经非门反相后的CP作为选通脉冲接到74LS138的使能端STA上来控制译码器的工作。6.3.3

集成计数器的综合应用举例

一、顺序脉冲发生器

当输入计数脉冲CP的上升沿到来时,计数器进行计数,与此同时,非门输出CP使STA=0,译码器被封锁而停止工作,Y0~Y7输出高电平。当CP下降沿到来时,CP为高电平1,STA=1,译码器工作,Y0~Y7输出低电平。因此,选通脉冲使译码器的译码时间和计数器中触发器的翻转时间错开了,从而有效地消除了竞争冒险现象。

一、顺序脉冲发生器

二、30秒定器

主要用于完成从30秒减计时(倒计时)到0,并通过译码器和数码显示器显示相应的数字。控制电路30秒减计数器译码显示电路

二、30秒定时器1.

主要电路工作原理

为实现三十进制减计数,十位计数器74HC192(2)取

D3D2D1D0=0011(3),个位计数器74HC192(1)取D3D2D1D0=0000(0)。同时将计数器的异步置0端CR接低电平。当按下置数开关S1时,计数器的LD=0,使计数器置30;放开S1时,LD=1,减计数器工作。

二、30秒定时器1.

主要电路工作原理

如在CP(CPD)端输入秒脉冲时,计数输出端BO1和BO2都为高电平,开始减计数。当个位74HC192(1)的减计数到0时,BO1由高电平跃为低电平。如再输入一个计数脉冲时,BO1端输出一个上升沿的借位信号,使十位74HC192(2)减1。当30减计数到00时,则BO1和BO2同时由高电平跃为低电平。

二、30秒定时器

由于在减计数过程中,借位输出端BO1和BO2都为高电平,G6、G7输出低电平,G8输出高电平,使G4开通,发光二极管LED熄灭。1.

主要电路工作原理

二、30秒定时器

当暂停/计数开关S2打在“计数”侧时,G2输出高电平,这时,G3开通,秒脉冲通过G3、G4和G5送到减计数器个位74HC192(1)的时钟输入端CPD进行减计数。1.

主要电路工作原理

二、30秒定时器

当开关S2打在“暂停”侧时,G1输出高电平,G2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论