EDA-VHDL的四位全加器设计_第1页
EDA-VHDL的四位全加器设计_第2页
EDA-VHDL的四位全加器设计_第3页
EDA-VHDL的四位全加器设计_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

EDA—VHDL的四位全加器设计1设计分析全加器是能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号的加法电路。其真值表如表1所示:表1全加器真值表输入输出abcinscout0000001010100101100100110011011010111111根据真值表可得出下列表达式:根据以上表达式,可以用数据流方式设计出1位全加器。要设计的是4位全加器,这里采用串行进位来设计。先设计4个1位的全加器,然后将低位的进位输出与高位的进位输入相连,将要进行加法运算的两个4位数的每一位分别作为每一个1位全加器的输入,进行加法运算,所有的1位全加器的输出组成一个4位数,即输入的两个4位数之和,最高位的全加器产生的进位输出即两个4位数求和的进位输出。4位全加器的原理图如图1所示:a(0)b(0)a(0)b(0)s(0)cina(1)b(1)s(1)a(2)b(2)s(2)a(3)b(3)s(3)coutcoutcoutcoutcincincin0根据图1所示,可以采用结构化描述方式设计4位全加器。2程序设计设计的程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYadder1IS--1位全加器设计PORT(a,b,cin:INSTD_LOGIC;s,cout:OUTSTD_LOGIC);ENDadder1;ARCHITECTUREdataflowOFadder1IS--用数据流方式设计1位全加器SIGNALtmp:STD_LOGIC;--用tmp表示a⊕bBEGINtmp<=aXORbAFTER10ns;s<=tmpXORcinAFTER10ns;cout<=(aANDb)OR(tmpANDcin)AFTER20ns;ENDdataflow;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYadder4IS--4位全加器设计PORT(a,b:INSTD_LOGIC_VECTOR(3DOWNTO0);cin:INSTD_LOGIC;s:OUTSTD_LOGIC_VECTOR(3DOWNTO0);cout:OUTSTD_LOGIC);ENDadder4;ARCHITECTUREstructuralOFadder4IS--用结构化描述风格设计4位全加器COMPONENTadder1PORT(a,b,cin:INSTD_LOGIC;s,cout:OUTSTD_LOGIC);ENDCOMPONENT;SIGNALx,y,z:STD_LOGIC;FORu1,u2,u3,u4:adder1USEENTITYWORK.adder1(dataflow);BEGINu1:adder1PORTMAP(a(0),b(0),cin,s(0),x);u2:adder1PORTMAP(a(1),b(1),x,s(1),y);u3:adder1PORTMAP(a(2),b(2),y,s(2),z);u4:adder1PORTMAP(a(3),b(3),z,s(3),cout);ENDstructural;3仿真结果对上面的程序进行仿真,先对1位全加器仿真,结果如图2所示:图21位全加器仿真图由图2可以看出,1位全加器的仿真结果与表1相符,说明1位全加器设计成功。再对4位全加器仿真,结果如图3所示:图34位全加器仿真图由图3可以看出,对设计的全

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论