EDA课程设计论文_第1页
EDA课程设计论文_第2页
EDA课程设计论文_第3页
EDA课程设计论文_第4页
EDA课程设计论文_第5页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

目录一、摘要二、概述2.1目的与要求2.2实验仪器与设备2.3实验注意事项2.4设计环境三、实验内容四、4位加法器设计实现过程4.1元件选择4.2编辑半加器的原理图4.3编译设计图形文件4.4生成元件符号4.5功能仿真设计文件①建立波形文件②输入信号节点③设置波形参量④设定仿真时间宽度⑤加入输入信号⑥波形文件存盘⑦进行仿真4.61位全加器的实现过程4.7四位加法器实现过程收获与心得体会摘要随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。二、概述2.1目的与要求1、学习MAX+plusⅡ工具软件的基本功能和使用方法。2、学习使用原理图输入法设计半加器,掌握原理图输入法的操作步骤。3、初步掌握设计电路原理图的编辑、编译、仿真等操作方法。每次实验前,学生须仔细阅读本实验指导书的相关内容:明确实验目的和实验内容;明确实验原理与步骤;复习与实验内容有关的理论知识;预习仪器设备的使用方法、操作规程及注意事项。2.2实验仪器与设备1、PC机2、MAX+plusII软件2.3实验注意事项1.实验开始前,应先检查本人的计算机是否安装相关软件,了解其软件的使用方法和要求。2.实验时每个同学应单独设计程序、操作、记录实验结果等,使每个同学受到全面训练。3.测量数据或观察现象要认真细致,实事求是。使用计算机要符合操作规程,切勿随便重启频繁开关计算机。。4.未经许可,不得动用其它人的仪器设备或计算机等物。5.实验结束后,实验记录交指导教师查看并认为无误后,离开机房。最后,应清理计算机,备份编写程序。6.爱护公物,发生仪器设备等损坏事故时,应及时报告指导教师,按有关实验管理规定处理。7.自觉遵守学校和实验室管理的其它有关规定。2.4设计环境QuartusIIdesign是最高级和复杂的,用于system-on-a-programmable-chip(SOPC)的设计环境。QuartusIIdesign提供完善的timingclosure和LogicLock™基于块的设计流程。QuartusIIdesign是唯一一个包括以timingclosure和基于块的设计流为基本特征的programmablelogicdevice(PLD)的软件。QuartusII设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmeddevices开发的统一工作流程。AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。三、实验内容以Altera公司的MAX+plusII为工具软件,采用原理图输入法设计半加器h_adder,生成元件符号,并仿真验证设计结果。四、4位加法器设计实现过程4.1元件选择在MAX+plusII工具软件的元件库中已经有与门、或门、与非门和异或门等元件,在设计中可直接调用这些元件,实现电路设计。图1半加器原理图在元件选择对话框的符号库“SymbolLibraries”栏目中,用鼠标双击基本元件库文件夹“d:\maxplus2\max2lib\prim”后,在符号文件“SymbolFiles”栏目中列出了该库的基本元件的元件名,例如and2(二输入端的与门)、xor(异或门)、VCC(电源)、input(输入)和output(输出)等。在元件选择对话框的符号名“SymbolName”栏目内直接输入xor,或者在“SymbolFiles”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到其他元件符号。4.2编辑半加器的原理图半加器逻辑电路图如图1所示,它由1个异或门和1个与门构成,a、b是输入端,SO是和输出端,CO是向高位的进位输出端。在元件选择对话框的符号名“SymbolName”栏目内直接输入xor,或者在“SymbolFiles”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到与门及输入端和输出端的元件符号。用鼠标双击输入或输出元件中原来的名称,使其变黑后就可以进行名称修改,用这种方法把两个输入端的名称分别更改为“a”和“b”,把两个输出端的名称分别更改为“SO”和“CO”,然后按照图1所示的半加器逻辑电路的连接方式,用鼠标将相应的输入端和输出端及电路内部连线连接好,并以“h_adder.gdf”(注意后缀是.gdf)为文件名,存在自己建立的工程目录d:\myeda\mygdf内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。4.3编译设计图形文件设计好的图形文件一定要通过MAX+plusII的编译。在MAX+plusII集成环境下,执行“MAX+plus”菜单下的“Compiler”命令,在弹出的编译对话框中单击“Start”按钮,即可对h_adder.gdf文件进行编译。在编译中,MAX+plusII自动完成编译网表提取(CompilerNetlistExtractor)、数据库建立(DatabaseBuilder)、逻辑综合(LogicSynthesizer)、逻辑分割(Partitioner)、适配(Fitter)、延时网表提取(TimingSNFExtractor)和编程文件汇编(Assembler)等操作,并检查设计文件是否正确。存在错误的设计文件是不能将编译过程进行到底的,此时计算机会中断编译,并在编译(Compiler)对话框中指出错误类型和个数。4.4生成元件符号在MAX+plusII集成环境下,执行“File”菜单下的“CreateDefaultSymbol”命令,将通过编译的GDF文件生成一个元件符号,并保存在工程目录中。这个元件符号可以被其他图形设计文件调用,实现多层次的系统电路设计。4.5功能仿真设计文件仿真,也称为模拟(Simulation);是对电路设计的一种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。①建立波形文件进行仿真时需要先建立仿真文件。在Max+p1usII环境执行“File”的“New”命令,再选择弹出的对话框中的WaveformEditorfi1e项,波形编辑窗口即被打开。②输入信号节点在波形编辑方式下,执行“Node”的“NodesfromSNF”命令,弹出输入节点“EnterNodesfromSNF”对话框,在对话框中首先单击“List”按钮,这时在对话框左边的“AvailableNodes&Groups”(可利用的节点与组)框中将列出该设计项目的全部信号节点。若在仿真中只需要观察部分信号的波形,则首先用鼠标将选中的信号名点黑,然后单击对话框中间的“=>”按钮,选中的信号即进入到对话框右边的“SelectedNodes&Groups”(被选择的节点与组)框中。如果需要删除“被选择的节点与组”框中的节点信号,也可以用鼠标将其名称点黑,然后单击对话框中间的“<="按钮。节点信号选择完毕后,单击“OK”按钮即可。③设置波形参量在波形编辑对话框中调入了半加器的所有节点信号后,还需要为半加器输入信号a和b设定必要的测试电平等相关的仿真参数。如果希望能够任意设置输入电平位置或设置输入时钟信号的周期,可以在Options选项中,取消网格对齐SnaptoGrid的选择(取消钩)。④设定仿真时间宽度在仿真对话框,默认的仿真时间域是1μS。如果希望有足够长的时间观察仿真结果,可以选择“File”命令菜单中的“EndTime”选项,在弹出的“EndTime”对证框中,填入适当的仿真时间域(如5μS)即可。⑤加入输入信号为输入信号a和b设定测试电平的方法及相关操作如教材图2.1.3所示,利用必要的功能键为a和b加上适当的电平,以便仿真后能测试so和co输出信号。⑥波形文件存盘以“h_adder.scf”(注意后缀是.scf)为文件名,存在自己建立的工程目录d:\myeda\mygdf内。在波形文件存盘时,系统将本设计电路的波形文件名自动设置为“h_adder.scf”,因此可以直接单击确定按钮。⑦进行仿真4.61位全加器的实现过程1位全加器可以用两个半加器及一个或门连接而成。其原理图如图2所示。在Quartus7.2图形编辑方式下,在用户目录中找到自己设计的半加器元件h_adder,并把它调入原理图编辑框中(调入两个),另外从d:\maxplus2\max2lib\prim元件库中调出一个两输入端的或门,并加入相应的输入和输出元件,按照图1所示电路连线,得到1位全加器电路的设计结果。电路中的a和b是两个1位二进制加数输入,cin是低位来的进位输入,sum是和输出,cout是向高位进位输出。图21位全加器原理图按以上步骤进行仿真,仿真图如下:1位全加器仿真图4.7四位加法器实现过程在一位全加器的基础上设计四位全加器,其原理图如图所示图3四位加法器原理图按以上操作进行仿真,仿真图如:收获与心得体会本次的EDA课程设计历时一星期,时间虽短,但通过一个星期的实践,使我对EDA技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论