电子时钟设计实验报告_第1页
电子时钟设计实验报告_第2页
电子时钟设计实验报告_第3页
电子时钟设计实验报告_第4页
电子时钟设计实验报告_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要数字逻辑课程设计报告PAGEIVPAGE1可调节电子表摘要我们正处在一个信息的时代,事物的发展和技术的进步,让我们享受到了诸多的便利。尤其是电子表,它在我们的生活中无处不在。电子表是内部装配有电子元件的表,一般分液晶显示数字式和石英指针式两种。本次程程设计在Quartus=2\*ROMANII仿真软件上实现对电子表的设计。学习电子表的设计不仅让我们拨开了电子表的奥妙,让我们更加牢固的掌握了74160、74193这一类的计数器的使用。运用多个74160器件,使之产生模为24、60周期的计数器,按照一定的关系量来连接,从而产生进位,产生一个能循环计数的正常电子表的功能。再设计一个电子表的调节电路图,以方便调节电子表。完成两部分的封装,对设计结果进行验证,通过输入数据的变化,从而得到所需要的输出。根据需要,或正常计时,或设定分钟和小时,都能得到正确的结果。关键词:Quartus=2\*ROMANII,电子表,计数器,74160,调节AdjustableElectronicClockABSTRACTWeareintheageofinformation,thedevelopmentofthingsandtechnicalprogress,letusenjoythemanyconvenience.Especiallyelectronicwatch,it'sinourlifeiseverywhere.Anelectronicwatchisinternalassemblyhaveelectroniccomponentswatch,generalpointsLCDdigitalandquartzpointertypetwokinds.ThisChengChengdesigninQuartusIIsimulationsoftwaretoachievethedesignofelectronicwatch.Thedesignoftheelectroniclearningnotonlyletusthroughthesecretsofthedigitalwatches,letusmoresolidknowledgeof74160,74193thiskindofuseofthecounter.UseDuoGe74160devices,causesittohavethemoldof23,59cycleofcounter,accordingtocertainamounttotherelationshipbetweentheconnection,whichcarryandproduceacyclecountofthenormalcanthefunctionofdigitalwatches.Todesignadigitalwatchtheadjustmentofthecircuitdiagram,withconvenientadjustmentelectronic.Completetwopartofthepackage,thedesignresultswereverifiedthroughthechangeofinputdata,andtheoutputoftheneed.Accordingtotheneeds,ornormaltime,orsetupminutesandhours,cangettherightresult.Keywords:QuartusII,electronicwatch,counter,74160,adjust前言目录前言 1第1章组成电路的芯片介绍 31.174160芯片 31.1.174160芯片符号 31.1.274160工作原理 3第2章可调节电子表的顶层电路 52.1可调节电子表的顶层电路与说明 52.1.1可调节电子表的顶层电路图 52.1.2电路说明 5第3章可调节电子表详析 63.1可调节电子表 63.2设计原理详析 83.2.1计数原理 83.2.2校时电路原理 83.3仿真波形与分析 10第4章LCD液晶显示器实现 134.1LCD液晶显示器 134.1.1LCD液晶显示器源程序 134.1.2LCD显示器封装 16第5章下载验证 17结论 19谢辞 20参考文献 21附录 22前言在这个越来越时间化的社会中,各式各样的电子表在我们身边频出不烦,俨然成为我们里生活里不可或缺的一部分。而液晶电子表更是以其精确、廉价、节电和款式多样化的优点,广受青睐,是的精工表的利润迅速提升,带动了手表行业的一场革命。计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。计数器可以用来显示产品的工作状态,一般来说主要是用来表示产品已经完成了多少份的折页配页工作。它主要的指标在于计数器的位数,常见的有3位和4位的。很显然,3位数的计数器最大可以显示到999,4位数的最大可以显示到9999。本次试验主要用74160等芯片设计电路图,设计秒脉冲MCLK和一个手动校正脉冲TMCLK,信号发生器产生稳定的脉冲信号,作为电子表的计时标准。具有“时分秒”的十进制数字显示,小时为24进制,分秒为60进制。当正常计数时,秒脉冲连接到计数器上,手动脉冲无效,校对时间时,手动脉冲连接到计数器上,秒脉冲无效。该电子表只对分钟和小时进行校正,可以在任意时间调节时间,在任意时间按下复位键,可将电子表复位清零。本文主要通过让学生根据资料利用74160及一些逻辑部件实际的制作液晶电子表,实际的绘制可调电子表的电路图,并牢牢掌握电子表的电路校对原理,也简单的设计了技术及硬件语言VHDL,并对电路图和VHDL进行简单的封装,并通过驱动程序验证其可行性。通过本设计使学生加深对课程内容的理解,并达到下列几个目的:掌握数字系统工作原理和数字系统设计方法。掌握74160(或74193)这一类计数器的广泛使用。3.熟练掌握仿真软件和实验开发板的使用方法。4.提高学生独立分析问题和解决问题的能力、综合设计及创新能力,培养学生实事求是、严肃认真的科学作风和良好的实验习惯。5.培养动手能力,发现和选拔人才。第1章标题第1章组成电路的芯片介绍1.174160芯片1.1.174160芯片符号图1-11.1.274160工作原理74160是十进制计数器当计数到1001时,输出进位RCO=1。ENT和ENP为使能端,正常工作时为1。CLRN为清零端,低电平有效。LDN低电平有效,CLK为输入工作信号。ENPENTLDNCLRNCLK功能1111上升沿正常计数××01上升沿同步设初态0111×保持RCO=1进位×011×保持RCO=0×××0×异步清0表1-174160功能电子表的设计过程中分别有实现秒、分、小时的功能:秒功能是由两个74160构成模六十来实现的,当每满六十秒时向分钟进位。同样分功能也是由两个74160构成模六十来实现的。小时功能是由两个74160构成模二十四来实现的,当每满二十四小时时清零。其中应注意的是小时进位的产生由分和秒共同控制的。REF_Ref168484390\r\h错误!未找到引用源。REF_Ref168484424\h错误!未找到引用源。PAGE6PAGE5第2章可调节电子表的顶层电路2.1可调节电子表的顶层电路与说明2.1.1可调节电子表的顶层电路图图2-1可调节电子表的顶层电路图2.1.2电路说明如上图2-1所示,RESET为复位按钮,正常工作时为1,摁下去之后RESET为0,电子表全部清零;K0、K1,是用来实现对电子表的调节,能调节分钟和小时。第3章REF_Ref168484495\h错误!未找到引用源。洛阳理工学院毕业设计(论文)PAGE12第3章可调节电子表详析3.1可调节电子表图3-1可调节74160的时钟图3-2可调节时钟的调节电路图上图3-1与3-2封装后即为顶层电路里的shizhong3.2设计原理详析3.2.1计数原理正常工作时K1K0为00或者11,工作脉冲为MCLK连接到计数器上,手动脉冲TCLK无效。RESET为1。CLRN=1清零端无效。秒低位片,当M3M2M1M0=1001时,RCO=1产生进位,使秒高位ENT、ENP都为1,正常工作。当M6M4M3M0=1111时(表示时间为59秒),MLDN=0输出端清零;TFEN为分钟低电位片的使能端,正常工作下K1K0=11或00,(由此可看出只有时间为59秒下一个上升沿到来时分钟才变化一次),分钟的脉冲信号FENCLK=MCLK,TFLDN=FLDN=(由此可看出只有当时间为59分59秒下一个上升沿到来时分钟才清零,同时结合以下文字可看出小时也加一),;当M6M4M3M0=1111时,MLDN=0,秒产生进位,使分钟加1;TSEN为小时的使能端,正常工作下TSEN==,小时的脉冲信号为SHICLK=MCLK,TSLDN=SLDN=,SEN=1时,小时开始加1。且当S5S1S0=111,F6F3.2.2校时电路原理(1)只对分钟和小时校正。(2)设置秒脉冲MCLK和一个手动校时脉冲TCLK。(3)当正常计数时,秒脉冲连接到计数器上,手动脉冲无效。(4)当校时时,把手动脉冲连接到计数器的相应位上,使秒脉冲无效。(5)当手动脉冲有上升沿时,相应的位加1,其它位照常计数,不受校时手动脉冲影响。(6)设置2个功能选择按钮,实现下表的功能。表3-1校正原理功能表k0k1功能FENCLKTFENSHICLKTSEN00①正常计数MCLKFENMCLKSEN01②调节分钟TCLK1MCLKSEN10③调节小时MCLKFENTCLK111④正常计数MCLKFENMCLKSEN以上4个功能的说明如下:①④正常计数:时钟全部是秒脉冲,使能端分别是FEN、SEN②调节分钟:分钟时钟是手动脉冲,分钟使能端分别是1。小时正常计数。③调节小时:小时时钟是手动脉冲,小时使能端分别是1。分钟正常计数。调节按钮电路公式:(7)某位调节到最大值时候,不向高位进位,执行清零:调节分钟到59后,TFLDN=0使分钟清零。调节小时到23后,TSLDN=0使小时清零。清零公式:正常计数时,,TFLDN=FLDN,TSLDN=SLDN3.3仿真波形与分析(1)秒钟计数图3-3秒钟计数仿真波形59秒后,下一上升沿进行清零,同时分加1。(2)分钟计数图3-4分钟计数仿真波形59分59秒后,下一上升沿进行清零,同时时加1.(3)小时计数图3-5小时计数仿真波形23时59分59秒后,下一上升沿进行清零。(4)分钟可调图3-6分钟可调仿真波形当K0为1,K1为0时对分钟进行调节。(5)小时可调图3-7小时可调仿真波形当K0为0,K1为1时对小时进行调节。(6)复位复位图3-8复位功能仿真波形当RESET为0时,时分秒全部清零。第3章REF_Ref168484495\h错误!未找到引用源。洛阳理工学院毕业设计(论文)PAGE16第4章LCD液晶显示器实现4.1LCD液晶显示器4.1.1LCD液晶显示器源程序libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityXIANSHIDZBisPort(Clk:instd_logic;--状态机时钟信号,同时也是液晶时钟信号R0,R1,R2:instd_logic_vector(7downto0);rs:bufferstd_logic;rw:outstd_logic;--液晶读写信号en:outstd_logic;--液晶使能信号Clk_Out:bufferstd_logic;--除输出的秒脉冲d:bufferstd_logic_vector(7downto0));--LCD的数据线endXIANSHIDZB;architectureBehavioralofXIANSHIDZBistypexianshiisarray(0to31)ofstd_logic_vector(7downto0);--显示缓冲signalqx:xianshi:=((others=>"00100000"));typestateis(clear,ids,dlnf,dcb,ddram1,outdata1,ddram2,outdata2);--ddram2,signalCount:std_logic_vector(15downto0);signalLCLK_OUT:std_logic;signalCounts:integerrange0to3000000:=0;--;std_logic_vector(22downto0);signalCurrent_State:state;signaltempa:std_logic_vector(4downto0):="00000";beginprocess(Clk_Out,clk)秒脉冲beginif(rising_edge(clk))thenCounts<=Counts+1;if(Counts=3000000)then--10000000是1秒Clk_Out<=notClk_Out;endif;endif;endprocess;qx(2)<="0011"&R0(7DOWNTO4);qx(3)<="0011"&R0(3DOWNTO0);qx(5)<="00111010";--:qx(7)<="0011"&R1(7DOWNTO4);qx(8)<="0011"&R1(3DOWNTO0);qx(10)<="00111010";--:qx(12)<="0011"&R2(7DOWNTO4);qx(13)<="0011"&R2(3DOWNTO0);process(Clk,LClk_Out)--LCD用脉冲--LClk_Out,6msbeginif(rising_edge(clk))thenCount<=Count+1;if(Count=0)thenLClk_Out<=notLClk_Out;endif;endif;endprocess;en<=LClk_Out;process(LClk_Out,Current_State)--液晶驱动控制器beginifrising_edge(LClk_Out)thenrs<='0';--写指令 rw<='0'; caseCurrent_Stateis whenclear=> d<="00000001";--01H,清屏 Current_State<=dlnf; whendlnf=> d<="00111000";--38H--5*7 Current_State<=ids; whenids=> d<="00000110";--06H:ltor Current_State<=dcb; whendcb=> d<="00001100";--0cH Current_State<=ddram1;--准备写入地址 whenddram1=> --显示第一行 d<="10000000"+tempa(3downto0); Current_State<=outdata1;--ddram2; whenoutdata1=> rs<='1'; d<=qx(conv_integer(tempa)); tempa<=tempa+1; iftempa="10000"then Current_State<=ddram2; else Current_State<=ddram1; endif; whenddram2=>--显示第2行 d<="11000000"+tempa(3downto0); Current_State<=outdata2; whenoutdata2=>RS<='1'; d<=qx(conv_integer(tempa)); tempa<=tempa+1; iftempa="00000"then Current_State<=ddram1; else Current_State<=ddram2; endif; whenothers=>null; endcase; endif;endprocess;endBehavioral;4.1.2LCD显示器封装LCD显示器源程序封装后即为顶层电路中的XIANSHIDZB,如图4-1所示。图4-1VHDL封装图第3章标题PAGE8PAGE18第5章下载验证(1)安装驱动程序先把实验板接好,然后:我的电脑→属性→硬件→设备管理器。自动搜索出一个设备。到安装路径下找到“USB-Blaster”。(2)下载设置器件:选菜单Assignments→Device,打开。共有10个步骤:选Cycloneii,选EP2C5T144C8①②②①②③打开选项卡Dual-PurposePins④Useasregulari/o③③④⑤⑥如图所示。⑥⑤⑥⑤⑦设置引脚⑦⑦再⑤⑧下载:选Tools→Programmer,进入下一步。⑧⑧⑧⑨连接下载器:按HardwareSetup,在弹出窗口中选USB-Blaster⑨⑩⑩⑨⑩⑩REF_Ref168484640\r\h错误!未找到引用源。REF_Ref168484646\h错误!未找到引用源。PAGE20结论设计中让我们甚了解到,计数器这一类器材的使用。计数器是数字系统中用的较多的基本逻辑器件,它的基本功能是统计时钟脉冲的个数,即实现计数操作,它也可用与分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。计数器的种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;按计数过程中数字增减趋势的不同,可分为加法计数器、减法计数器和可逆计数器;还有可预制数和可编计数器等等。通过实际的动手设计电子表,让我们更加牢固的掌握知识与技巧,了解器材和工具更深层的运用。设计原理图,并用Quartusii完成原理图绘制,通过封装原理图,将一个庞大的电路图,压缩成一个简单的器件,更方便了运用。编写VHDL程序,让我们知道了硬件的奥妙,封装VHDL形成一个简单的器件,便于使用。通过本次课设深刻体会到面对频发的错误,一定不能急躁,我们要保持一颗平静的心,对错误进行耐心的查找与调节。对不懂的问题虚心请教老师和同学。此次试验更让我认识到自己动手能力的不足,相信通过多次的试验,动手能力一定会有所加强。总而言之本次设计受益匪浅。结论谢辞通过本次设计,我深刻领会到学术研究的严谨性、规范性和科学性,我们要始终坚持严肃严谨的学习态度。此次电子表设计不仅培养了我们不骄不躁,始终坚持的信念,也提高了我们的综合能力。本次设计的顺利完成,离不开各位老师、同学、朋友的支持、帮助。邹红文老师和杨倩薇、张静等同学的帮助,在整个设计过程中都给予了我鼓励和支持,大家能够在一起讨论与分析,不但能够加深理解,也更能够了解更多的知识,领悟更深的层面。在同学的帮助,也有老师的细心指导下,我才能掌握了知识,增加了我们的动手经验。掌握了基本的研究方法、开拓了思路,逐渐形成了的自主学习能力。同时也是老师和学校给了我们良好的学习氛围和人文环境,让我们有这个机会可以增强动手能力,可以提高我们的学习水平。参考文献PAGE22参考文献[1]欧阳星明,数字逻辑[M].武汉:华中科技大学出版社,2009[2]周润景,图雅,张丽敏.基于Quar

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论