版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
核心观点大算力应用如高性能服务器(HPC)和自动驾驶(ADAS)取代手机/PC成为新一轮半导体周期驱动力,后摩尔定律时代高端封装工艺迭代成为新的发展趋势。以Chiplet为代表的2.5D/3D封装形式成为大芯片标配,TSV/RDL/Fan-out等高端封装技术带来封装环节价值占比提升。全球晶圆代工龙头台积电打造全球2.5D/3D先进封装工艺标杆,未来几年封装市场增长主要受益于先进封装的扩大。先进封装市场的快速增长,有望成为国内晶圆代工厂商(中芯国际)与封测厂商(长电科技、通富微电和深科技)的新一轮成长驱动力。报告核心观点摘要1、应用:大算力应用如高性能服务器(HPC)和自动驾驶(ADAS)取代手机/PC成为新一轮半导体周期驱动力,后摩尔定律时代高端封装工艺迭代成为新的发展趋势。以台积电下游应用来看,HPC的收入增速从2020年Q3超过手机后保持持续领先,对应的营收占比在在2022年Q1首次超过手机成为台积电下游第一大应用,相比之下封测厂商在高价值量的运算类电子占比仅为16%。我们认为随着大算力需求提升,先进封装替代先进制程成为降低单位算力成本的最佳方案,进而拉高运算电子在封测厂商的价值量。2、工艺:以Chiplet为代表的2.5D/3D封装形式成为大芯片标配,TSV/RDL/Fan-out等高端封装技术带来封装环节价值占比提升。半导体价值量的增长下游从手机/PC向高算力的HPC和ADAS转移,封装工艺开始向Chiplet为代表的2.5D/3D封装转移,从封装工艺流程来看,晶圆代工厂基于制造环节的的优势扩展至TSV工艺,封测厂参与较多的是RDL和Fan-out等封装工艺,随着高算力芯片整体封测市场扩容,封测厂商逐步扩大2.5D和3D封测布局。3、市场:全球晶圆代工龙头台积电打造全球2.5D/3D先进封装工艺标杆,未来几年封装市场增长主要受益于先进封装的扩大。目前先进封装营收规模最大是晶圆代工龙头台积电,预计2022年先进封装贡献了53亿美元,全球封测龙头日月光和安靠都推出了3D封测工艺平台,积极抢占先进封装的份额。预计2027年先进封装市场规模增至651亿美元,2021-2027年CAGR达到9.6%,先进封装成为大算力时代封装厂商新的增长动能。4、建议关注标的:中芯国际(国内逻辑芯片代工龙头,Q2稼动率见底,行业周期反转在即)长电科技(2H22推出XDFOI为代表的2.5D/3D封装工艺平台)通富微电(绑定AMD推出GPU/CPU/ASIC芯片chiplet解决方案)深科技(存储封测龙头,能够实现8层和16层存储芯片堆叠工艺)目录 一、应用:手机封装工艺->汽车封装工艺->HPC二、工艺:先进封装与2.5D/3D封装关键工艺三、空间:行业规模与龙头四、供给:国内晶圆厂与封装厂2022年海外与中国大陆的逻辑类IC封测厂商实现双位数增长2022年全球海外前十大封测厂商营收合计达到277亿美元,yoy+9%,逻辑IC封测和测试厂商成长明显,DDIC类封测公司受到行业周期下滑较多。2022
年中国大陆前十大封测厂商营收合计达到765亿元人民币,yoy+14%,逻辑IC封测和专业测试厂商实现大幅增长。图表:海内外封测大厂营业收入资料来源:各公司公告,中信建投,注:深科技、晶方科技、颀中科技2022年营收是年化所得,其余来自公司公告封测类型国际大厂2022年yoy%封测类型国内龙头2022年yoy%逻辑类日月光13,06612%逻辑IC长电科技33,76211%逻辑类安靠7,09216%
逻辑IC通富微电21,42936%存储类力成3,0000%
逻辑IC华天科技11,906-2%CIS精材科技2761%
逻辑IC甬夕电子2,1846%DDIC颀邦科技859-12%存储IC深科技3,0586%DDIC南茂科技844-14%CIS封测晶方科技1,145-19%测试类京元电子1,3179%
DDIC颀中科技1,3724%测试类欣铨51822%
DDIC汇成股份94018%测试类夕格72221%测试类伟测科技73349%76,52914%营业收入
单位:US$
M证券代码
2021年3711.TW 11,713AMKR.O 6,1386239.TW 2,9953374.TWO 2746147.TWO 9738150.TW 9842449.TW 1,2113264.TWO 4266257.TW 596营业收入
单位:RMB¥
M证券代码
2021年600584.SH 30,502002156.SZ 15,812002185.SZ 12,097688362.SH 2,055000021.SZ 2,885603005.SH 1,411A22097.SH 1,320688403.SH 796688372.SH 49367,372合计
25,309 27,694 9%
合计2022年Q1开始HPC超越手机成为半导体第一大需求驱动力2020年第三季度台积电HPC的收入增速首次超过手机应用后持续保持领先,营收占比也在2022年第一季度超过智能手机,成为逻辑芯片领域最重要的成长驱动力。2020年第三季度开始,汽车电子领域增速持续走高,在台积电所有下游应用领域中保持最高的成长速度。资料来源:台积电,中信建投150%130%110%90%70%50%30%10%-10%-30%-50%手机汽车HPC 物联网消费电子 其它25%30%35%40%45%50%55%图表:2018-2020年台积电手机与HPC收入占比手机 高性能计算(HPC)资料来源:台积电,中信建投图表:2019-2020年台积电各应用领域营收增速HPC封装价值并未流向OSAT厂商,主要由晶圆代工厂承接53% 52% 53% 52% 52% 53% 53% 53%15%15% 16% 16%16% 16% 16%33% 33% 32% 32% 32%31% 31% 31%100%90%80%70%60%
14%50%40%30%20%10%0%21Q121Q221Q321Q422Q122Q222Q322Q4全球封测厂商排名前两位的是日月光投控与安靠,按照下游应用占比来看,手机依然是封测领域占比最高的,营收占比高达40-50%,与晶圆代工龙头台积电的晶圆代工应用占比匹配。计算领域日月光与安靠的营收占比均为16%,明显低于HPC领域晶圆代工环节超过40%的营收占比,可见HPC领域的封测并未由OSAT厂商承接,而是留在台积电等聚焦先进制程代工的晶圆厂体内。图表:日月光投控(包含夕品)封测下游应用占比% 图表:2021年安靠封测下游应用占比%通讯 电脑 汽车、消费电子及其他资料来源:日月光,中信建投资料来源:安靠,中信建投ChatGPT引领算力新时代,HPC封装成OSAT厂商必争之地2019年开启的5G手机浪潮推动了射频(RF)封装工艺向SiP和AiP/AOP领域发展,摄像头升级也拉动了CIS的封装需求爆发。随着5G手机渗透率逐步饱和,服务器(HPC)需求成为半导体行业新的驱动力,不同于智能手机追求轻薄和微缩的追求,服务器芯片更注重算力提升,以Chiplet为代表的2.5D和3D封装工艺成为封装需求的重要引擎。图表:智能手机核心元件的封装工艺图表:AMD小芯片(Chiplet)设计与先进封装资料来源:安靠,中信建投AMD,中信建投汽车芯片封装趋势:Fan-out、SiP等先进封装需求增长在汽车领域,先进的驾驶员辅助系统(ADAS)、电气化和虚拟驾驶舱等智能化升级,对于先进封装的需求快速增加,尤其是ADAS对于大算力芯片使用量大幅提升,带动2.5D/3D封装需求。汽车电子是SiP封装的重要应用场景,SIP封装为汽车客户提供一个平台,将微处理器和其他功能模块如SerDes、PMICs、存储、MEMS传感器等整合在一起成为完整的控制系统,在ECU、ABS、方向盘控制系统、座舱娱乐系统等各个单元得到采用。扇出形封装(Fan-out)已经在汽车毫米波雷达中得到了应用,在77GHz毫米波雷达上的性能已被证明优于FCBGA等其他封装形式,因为RDL能够实现低损耗布线和卓越的RF性能。图表:汽车电子常用封装方案资料来源:安靠,中信建投图表:Molded
Cavity和Multi-sensor
集成光学传感器封装《Chip
Scale
Review》,中信建投一、应用:手机封装工艺->汽车封装工艺->HPC二、工艺:先进封装与2.5D/3D封装关键工艺目录 三、空间:行业规模与龙头四、供给:国内晶圆厂与封装厂AI应用对性能的极致追求与先进封装技术的发展相辅相成除了AI芯片架构本身的进展外,更高性能的芯片往往离不开先进封装。在AI运算中,神经网络参数(权重、偏差、超参数和其他)需要存储在内存中,常规存储器与处理器之间的数据搬运速度慢,成为运算速度提升的瓶颈,且将数据搬运的功耗高。目前AI芯片通过NMP(近内存处理器)和PIM(存内处理)的架构设计降低上述限制。例如NMP包括2.5D封装逻辑芯片与HBM,3D封装逻辑芯片与堆叠的DRAM(HMC)。异构集成突破先进制程的限制,降低单位算力成本:在上述方案中,
DRAM、逻辑和其他模块来自不同的工艺节点,并使用先进封装技术进行连接,形成异构集成。随着人工智能架构变得越来越普遍,未来AI芯片还将集成来自其他领域(如模拟、射频和光子学)的模块,以及不同的工艺节点,满足更多的应用需求。图表:AI封装架构图表:AI计算“内存墙”NMP:逻辑芯片与HBM
2.5D封装NMP:逻辑芯片与HBC
3D封装多芯片PIM加速器逻辑、存储未来与其他领域模块形成的异构集成芯片资料来源:知存科技,中信建投《Chip
Scale
Review》,中信建投Chiplet成为后摩尔时代发展趋势后摩尔时代Chiplet封装为芯片制造提供了性能与成本平衡的最佳方案。随着半导体工艺尺寸进一步缩小,集成电路制造面临的挑战日益增大,摩尔定律日趋放缓,单位晶体管的成本不降反升,应用先进制程的芯片研发费用大幅增长。Chiplet即“小芯片”,是指预先制造好、具有特定功能、可组合集成的晶片(Die)。Chiplet技术背景下,可以将大型单片芯片划分为多个相同或者不同的小芯片,这些小芯片可以使用相同或者不同的工艺节点制造,再通过跨芯片互联和封装技术进行封装级别集成,以在功率、性能和成本方面找到优化的平衡。图表:将大芯片转换为Chiplets进行异构集成图表:先进制程芯片的研发费用大幅上升28.537.751.370.3106.3174.4297.8542.2600500400300200100065nm40nm28nm22nm16nm
10nm7nm 5nm研发费用(百万美元)图表:每百万门晶体管的成本在28nm后开始上升《Chip
Scale
Review》,中信建投IBS,中信建投IBS,中信建投Chiplet为芯片制造提供了性能与成本平衡的新方案AMD,中信建投Wikichip,中信建投Chiplet封装采用“小芯片”异构集成,大幅提升制造良率。理论上,如果Die尺寸足够小,Wafer的利用率可达100%。如果考虑缺陷,随着Die的减小,良率将得到提升。因此近几年全球晶圆制造厂商积极发展先进封装工艺,并且增加2.5D和3D封装的资本开支,在后摩尔定律时代布局Chiplet成为半导体行业发展的必然趋势。AMD以实现性能、功耗和成本的平衡为目标,推行Chiplet设计,并提出performance/W和performance/$衡量标准。Chiplet具有成本效应,但其造价随着核数的下降而变缓,因此可能有一个价格的均衡点来判断是否采用Chiplet技术。AMD采用“7nm+14nm”的芯片组方案相较于采用7nm制造同样多核的芯片成本下降了50%左右。图表:Chiplet有利于提升良率 图表:用Chiplet技术的7nm+14nm的造价vs
7nm2D-3D的先进封装依据物理结构和电气连接特征区分如果说chiplet是一种芯片设计方法,异构集成即是一种芯片封装方法。为使异构集成的Chiplet封装实现,需要借助到2D/2.1D/2.3D/2.5D/3D等一系列先进封装工艺。先进封装的不同层次主要依据多颗芯片堆叠的物理结构和电气连接方式划分,例如2D封装中的芯片直接连接到基板,其他封装则以不同形式的中介层完成互联。图表:先进封装的层次 图表:先进封装依据互连密度和性能排名《Recent
Advances
andTrends
in
Advanced
Packaging》,中信建投《Recent
Advancesand
Trends
in
Advanced
Packaging》,中信建投2D-2.5D在XY平面上封装多颗芯片,中介层是主要差异点SiP与先进封装,台积电,中信建投2D:2D封装是指在基板的表面水平安装所有芯片和无源器件的集成方式,芯片之间的连接主要通过基板实现,少数通过键合线直接连接。2D典型案例包括TSMC的InFO封装技术。2.5D:2.5D封装特指采用了中介层(interposer)的集成方式,中介层多采用硅材料。芯片通常通过MicroBump和中介层相连接,作为中介层的硅基板采用Bump与基板相连,硅基板上下表面的上下表面的电气连接通道通常由RDL与贯穿硅基板的TSV构建。2.5D典型案例包括TSMC的CoWoS-S技术。2.1D/2.3D:介于2D和2.5D硅转接板之间,
2.1D/2.3D之间的间隙较为模糊,主要特点是采用薄膜、有机中介层、高密度RDL或嵌入基板的硅桥替代中介层进行互连,典型的案例包括Intel的EMIB封装技术。由于不采用硅中介层有利于降低成本,2.1D/2.3D在一些应用中被视作2.5D封装的一种替代选择。图表:2D与2.5D封装(下)结构示意图 图表:2.1D
2.3D
2.5D封装结构对比《Recent
Advances
andTrends
inAdvancedPackaging》
,中信建投3D封装在Z轴上延伸物理结构,TSV可实现高密度电气互连3D
with
TSV:目前3D封装通常特指芯片通过TSV直接进行高密度互连,典型的案例即HBM。3D
without
TSV:虽然多颗芯片在物理结构上呈现3D堆叠形态,但其电气互连上均需要通过基板(除极少数通过键合线直接连接的键合点),即先通过键合线/凸点连接到基板,然后在基板上进行电气互连,而非TSV。在某些场景下,此类集成也被归类为2D+集成以与3D
TSV进行区分,典型案例即TSMC的InFO_PoP。图表:3D
with
TSV案例图表:A10处理器采用的InFO_POP封装即3D
without
TSV图表:3D
without
TSV案例《Recent
Advancesand
Trends
in
Advanced
Packaging》,中信建投完成前道工序的硅晶圆中TSV制造工艺对应用3D
IC封装技术的有源器件晶片,主要使用via-middle工艺制造TSV。由于fab拥有相关设备,具备相关专业知识技能,有源器件的TSV应由fab厂制造,并且制造TSV的成本不到制造(≤32nm)器件晶片的成本的5%。图表:TSV
Via-Middle工艺制造存储器的关键步骤和所有权TSV
Via-Middle工艺:在FEOL(用于对器件进行图案化)和MOL(用于形成金属接触)之后,通过五个关键步骤制造TSVs:蚀刻形成通孔—>通过等离子体增强化学气相沉积(PECVD)电介质—>通过物理气相沉积(PVD)阻挡层和种子层
—>使用电镀铜填充和化学机械抛光(CMP)去除覆盖的铜金属层的堆积—>最后是钝化/开口(BEOL)。所有这些步骤都应在FAB中完成。MEOL步骤:通过凸点下金属化(UBM)以及使用C4(普通晶圆凸点)焊接到整个晶片—>用粘合剂将TSV晶片临时粘合到载体晶片上—>再将TSV晶片反向研磨至铜填充TSV顶部几微米—>进行硅干法蚀刻,直到铜填充TSV顶部以下几微米—>在整个晶片上进行低温隔离SiN
/SiO2沉积—>使用CMP去除SiN
/
SiO2和Cu以及Cu填充TSV(Cu显露)的晶种层—>在铜填充TSV的顶部制备UBM。上述所有步骤应由OSAT完成。资料来源:半导体百科,中信建投硅中介层上TSV的制造工艺TSV与RDL步骤:在一块硅衬底(无有源器件)上沉积钝化层—>制作TSV
—>构建RDL并进行钝化/开口资料来源:半导体百科,中信建投对于无源转接板(例如2.5D封装中的硅转接板),TSV和RDL既可以由fab制造也可由OSAT制造,取决于布局,设计和制造能力,尤其是RDL的线宽和间距。通常,OSAT可以完成几微米的线宽和间距。图表:无源转接板上TSV制备的关键步骤和所有权MEOL步骤:在UBM之后,将TSV晶片临时粘合到载体1—>进行背面研磨—>硅蚀刻,低温钝化和铜暴露—>完成UBM
—>
C4工艺以及与载体2的临时粘合—>不带TSV的器件晶圆分别用微焊料凸点或带有焊帽的Cu柱对存储器晶片进行微凸点处理—>将器件晶片切成有微凸点/Cu柱的单个芯片。封装与测试步骤:剥离载体#1
—>进行C2W键合(器件芯片与TSV晶片的键合)
—>剥离载体#2并且TSV晶片被切割成单独的TSV模块—>TSV模块可以组装在封装基板上进行测试。台积电先进封装技术平台——3D
Fabric台积电已将其先进封装技术整合为一个品牌——3D
Fabric,其中包含三大技术平台CoWoS(Chip
on
Wafer
on
Substrate),2.5D晶圆级封装技术。InFO(Intergrated
Fan-Out),采用RDL(重新布线层)代替硅中介层,无需TSV。SoIC(System
on
Integrated
Chips),前端封装技术,具有高密度垂直堆叠性能。图表:台积电先进封装技术平台图表:台积电不同封装技术的封装密度和键合间距资料来源:台积电,中信建投资料来源:台积电,中信建投CoWoS:适用于HPC与AI计算领域的2.5D封装技术图表:台积电CoWoS技术平台资料来源:台积电,中信建投CoWoS-SCoWoS-RCoWoS-LCoWoS为HPC和AI计算领域广泛使用的2.5D封装技术。台积电早在2011年推出CoWoS技术,并在2012年首先应用于Xilinx的FPGA上。此后,华为海思、英伟达、谷歌等厂商的芯片均采用了CoWoS,例如GP100(P100显卡核心),TPU
2.0。如今CoWoS已成为HPC和AI计算领域广泛应用的2.5D封装技术,绝大多数使用HBM的高性能芯片,包括大部分创企的AI训练芯片都应用了CoWoS技术。CoWoS可以分为为CoWoS-S、CoWoS-R和CoWoS-L三种。CoWoS-S基于硅中介层为先进SoC和HBM提供系统集成;CoWoS-R更强调小芯片间的互连,利用RDL实现最小4μm的布线;CoWoS-L则是最新的CoWoS技术,结合了CoWoS-S和InFO两种技术的优点,使用RDL与LSI(本地硅互连)进行互连,具有最灵活的集成性。InFO:适用于移动设备、HPC的封装技术资料来源:台积电,中信建投资料来源:台积电,中信建投InFO平台提供针对特定应用优化的各种
2D
和
3D
封装方案。InFO技术首度使用于iPhone
7中的A10,相比于三星的PoP封装技术,在封装厚度上有明显改善,InFO封装也成为了台积电独占苹果A系列处理器订单的关键技术之一。InFO用于各种应用的高密度互连和性能,例如移动设备、HPC等。InFO主要分为InFO_PoP(层叠封装)和InFO_oS(基板上的InFO封装)。InFO_PoP是行业中首款3D晶圆级扇出封装,专注于DRAM与逻辑芯片的集成,顶部DRAM
裸片上的凸块利用直通互联通孔
(TIV)到达再分布层(RDL),主要应用于移动平台;InFO_oS(基板上)可封装多个裸片,高密度的RDL及其微突块通过TSV连接到基板,专注于HPC客户。图表:InFo_PoP拓扑图 图表:InFo_oS拓扑图InFO:新型拓扑方案有望改善性能并扩大应用场景图表:InFo_PoP向InFO_B的转变图表:HPC应用的InFO_oS资料来源:台积电,中信建投资料来源:台积电,中信建投InFO_B:InFO_PoP
的DRAM
封装是定制设计,只能在
TSMC
制造。另一种
InFO_B
拓扑正在开发中,以使(LPDDR)
DRAM
封装能够由外部合同制造商/OSAT提供。InFo_L:集成LSI的InFO变体,LSI用于两个芯片之间的通信,达到极致互连带宽和成本的折中。InFo_oS封装体系下的新配置:一种潜在的InFO_oS配置,逻辑芯片被I/O
SerDes小芯片包围,以支持高速/高基数网络交换机。图表:InFO_oS对比InFo_LSI资料来源:台积电,中信建投SoIC:用于3D异构集成的技术平台资料来源:台积电,中信建投资料来源:台积电,中信建投SoIC技术将同构和异构小芯片集成到单个类似
SoC
的芯片中,该芯片具有更小的占用空间和更薄的外形,可以整体集成到CoWoS和InFO中。从外观上看,新集成的芯片就像一个普通的SoC芯片,但嵌入了所需的异构集成功能。SoIC主要分为SoIC_CoW(Chip
on
Wafer)和SoIC_WoW(Wafer
onWafer)。SoIC_CoW技术将不同尺寸、功能、节点的晶粒进行异质整合。SoIC_WoW技术通过晶圆堆叠工艺实现异构和同质3D硅集成。紧密的键合间距和薄的
TSV
可实现最小的寄生以实现更好的性能、更低的功耗和延迟以及更小的外形尺寸。WoW
适用于高良率节点和相同裸片尺寸的应用或设计,甚至支持与第
3
方晶圆的集成。图表:SoIC与InFO_PoP、CoWoS联合应用 图表:SoIC-WoW生产流程SoIC:相比基于微凸点的3D封装有诸多优势基于微凸块的3D封装借助微凸点连接芯片,在连接密度、性能等方面受限。传统3D封装在后端工艺中借助微凸点(Pump)连接堆叠的芯片,但微凸点的尺寸很难缩小到10μm以下,限制了堆叠芯片的I/O针脚计数。此外,按比例排列的微凸点增加了寄生电容、电阻和电感,降低了其性能和功率。台积电SoIC
3D封装技术使芯片连接紧密,并在互联带宽和散热上表现优异。台积电SoIC的键合技术在前端工艺完成,接合间距更小,使芯片更紧密地连接在一起,提供超过10K/mm2的垂直互连密度,用于超高带宽互连。在热性能方面,台积电SoIC键合的热阻比微凸点下降低35%。资料来源:台积电,中信建投图表:SoIC与基于微凸点的3D封装对比图表:SoIC具有更优异的热性能表现HBM
3D堆叠提升内存性能,GPGPU上应用广泛随着数据的爆炸势增长,内存墙对于计算速度的影响愈发显现。为了减小内存墙的影响,提升内存带宽一直是存储芯片聚焦的关键问题。如同闪存从2DNAND向3DNAND发展一样,DRAM也正在从2D向3D技术发展,HBM为主要代表产品。与传统DRAM不同,HBM是3D结构,它使用TSV技术将数个DRAM裸片堆叠起来,形成立方体结构,与传统内存相比,HBM的存储密度更大、功耗更低、带宽更高。目前HBM多用于与数据中心GPGPU配合工作,可以取代传统的GDDR。图表:HBM结构示意图图表:HBM与DDR对比AMD,中信建投SK海力士,中信建投DDR4LPDDR4(X)GDDR6HBM2HBM2E(JEDEC)HBM3(TBD)Data
rate3200Mbps3200Mbps(upto4266Mbps)14Gbps(upto16Gbps)2.4Gbps2.8Gbps>3.2GbpsPin
countx4/x8/x16x16/ch(2chper
die)x16/x32x1024x1024x1024Bandwidth5.4GB/s12.8(17)GB/s56GB/s307GB/s358GB/s>500GB/sDensity(perpackage)4GB/8GB8GB/16GB/24GB/32GB8GB/16GB4GB/8GB8GB/16GB8GB/16GB/24GB(TBD)AI服务器对GPGPU需求旺盛,拉动HBM显存需求激增GPGPU为AI服务器主流协处理器选择,平均搭载量大。IDC统计数据表明,中国x86服务器以双路服务器为主,占比在80%以上。根据Omdia预测,到2026年约30%的服务器将搭载协处理器,而GPGPU为协处理器的首选,份额在10%左右。与单台服务器主要搭载两颗CPU的情况不同,通常一台加速服务器搭载4颗及以上的GPGPU。IDC数据显示,2019年中国AI加速服务器单机GPGPU搭载量最多达到20颗,加权平均数约为8颗/台。单颗GPU配套的HBM显存存储容量达到80GB,对应价值量约为800美元。配置GPGPU数量出货量20755162152910765819269639057524178733127421670813总计79318加权平均8.02图表:2019年中国AI服务器配置情况IDC,中信建投11.3%8.4%7.4%7.6%6.6%80.0%84.7%87.0%87.7%88.8%8.4%4.5%100%90%80%70%60%50%40%30%20%10%0%201620172018201920201路 2路 4路 8路(含)以上6.7% 5.3% 4.5%图表:2016-2020年中国x86服务器路数分布情况IDC,中信建投图表:全球服务器出货量份额预测HBM1HBM2
Gen1HBM2
Gen2HBM2EHBM3HBM4OperatingFrequency(Mbps)~160016002000-40003200-36004400-64008400-VDD1.2V1.2V1.2V1.2V1.1V1.1VDie
Density(Stack)2GB(4-Hi)8GB(4Hi)8GB(4Hi/8Hi)16GB(4Hi/8Hi)16-24GB(4/8/12Hi)24-32GB(4/8/12/16Hi)ReleaseYear201620172018202020222027(expected)500458557846122718942497-8.4%21.6%51.9%45.0%54.4%31.8%60%50%40%30%20%10%0%-10%-20%0500100015002000250030002019 2020 2021 2022 2023 2024 2025市场规模(百万美元)YoY上下游厂商积极布局HBM,2025年市场规模近25亿美元SK海力士,中信建投SK海力士是HBM开发的先行者,并在技术开发和市场份额上占据领先地位。2014年,SK海力士与AMD联合开发了全球首款HBM产品。SK海力士的HBM3发布7个月后实现了量产,将搭载于NVIDIA
H100之上。根据BussinessKorea的报道,SK海力士在HBM市场已获得60%-70%的市场份额。HBM赛场集齐三大DRAM巨头,晶圆代工厂也通过升级相关封装技术参与其中。SK海力士之后,三星、美光推出了各自的HBM产品,分别迭代至HBM3和HBM2E。晶圆代工厂商包括如台积电、格芯等也在发力HBM相关的封装技术。HBM在算力芯片中的应用范围持续扩大,2025年市场规模将接近25亿美元。客户方面,AMD和NVIDIA两大显卡厂商已多次在其GPGPU产品上采用HBM,Intel发布了全球首款集成HBM的x86
CPU,Xilinx在其FPGA产品中推出了搭载HBM的系列。随着AI技术不断扩大对高算力的需求,HBM销售量有望迎来快速增长。Omdia预计2025年HBM市场规模将接近25亿美元,是2020年的5倍多。图表:SK海力士的HBM产品迭代 图表:全球HBM市场规模预测Omdia,中信建投Fan-out根据重构载体差异分为WLP和PLP两类Fan-out扇出形封装可分为FOWLP和FOPLP两类,主要差异在于切割后的晶粒放置于不同的载体上:FOWLP
(Fan-out
Wafer
Level
Package)晶圆级扇出形封装,将切割后的晶粒组合成为重构晶圆,然后进行塑封、去除载片、制作RDL等工艺以完成封装。FOWLP适合AP以及CPU、GPU、FPGA等算力芯片的封装。FOPLP(Fan-out
Panel
Level
Package)面板级扇出形封装是FOWLP技术的延伸,但采用了更大的面板如PCB载板或液晶面板用的玻璃载板,因此可以量产出数倍于12英寸硅晶圆芯片的封装产品,成本上更具优势。FOPLP适合AP、功率器件、电源管理IC的封装。图表:FOWLP与FOPLP封装过程中所用载体差异 图表:FOWLP与FOPLP封装成本差异SiP与先进封装技术,中信建投Yole,中信建投Fan-out核心工艺步骤Fan
Out工艺流程可分为Mold
first和RDL
first两类,区别主要在核心工艺步骤顺序,以Mold
first为例:1)从晶圆代工厂生产出的晶圆经过测试后,将来料晶圆切割成为裸晶;2)将切割后的裸晶嵌入粘接到人造塑料晶圆(重组晶圆)中,组合成为重构晶圆,与来料晶圆相比,重构晶圆上裸晶之间的距离相对更大。3)完成重构晶圆的贴片后,对重构晶圆进行塑封以固定和保护裸晶。然后将重构晶圆载片移除,从而将裸晶对外的输入输出接口(I/O)露出。4)在晶圆上通过金属布线工艺制作再布线层(RDL),并在RDL所连接的金属焊盘上进行植球。最后将重构晶圆进行图切表割:F,O以WL得P/F到OP独LP立工的艺流芯程片。RDL
first则是先在载板上生成RDL,再将裸晶接合。micromachines,中信建投FOWLP在汽车电子应用广泛,Fan-Out市场份额高度集中FOWLP发展更为成熟,是当前Fan-out封装市场主流选择。在Fan-out市场中,FOPLP的发展因受到良率产量、翘曲及设备投入研发、投资回报率等种种挑战,产业发展进程仍有待提高。根据Yole数据,2020年FOPLP在Fan-out市场中份额仅占3%,预计2026年升至7%。Fan-out参与者阵营持续扩充,市场份额集中度高。OSAT、IDM、Fab等来自不同领域的制造商都布局了Fan-out技术,一些面板厂也切入FOPLP的研发,Fan-out封装参与者阵营持续丰富扩充。根据Yole数据,Fan-out市场集中度较高,台积电与全球前三大OSAT厂资料来源:国际电子商情,中信建投20.0%66.9%5.1%
3.0%1.9%0.7%0.5%TSMCNepesASEPTI1.9%长电科技
Amkor三星电子
华天科技商图表20:2200年20合年计全市球场Fa份n-O额u达t封到装9市5场%份,额2022年仍维持在90%以上图表。:扇出形封装参与厂商代表Yole,中信建投93%图表:2026年FOWLP/FOPLP市场份额预测FOWLP FOPLP7%Yole,中信建投三、空间:行业规模与龙头二、工艺:先进封装与2.5D/3D封装关键工艺目录 一、应用:手机封装工艺->汽车封装工艺->HPC四、供给:国内晶圆厂与封装厂先进封装市场快速成长,规模有望超越传统封装预计2027年先进封装市场规模增至651亿美元,2021-2027年CAGR达到9.6%。根据Yole数据,全球封装市场中,先进封装占比已由2015年的39%提升至2021年的44%。预计到2027年,先进封装市场占比将增至53%,规模约为651亿美元,2021-2027年CAGR约为9.6%,高于传统封装市场的3.3%和市场整体的6.3%。$21.5B,39%$33.0B,61%2015$54.3B$37.5B,44%$46.9B,56%2021$84.4B$65.1B,53%$57.0B,47%2027$122.1B先进封装传统封装图表:全球封装市场规模及结构预测Yole,中信建投先进封装内部相对高阶的封装形式将呈现更快增速Yole,中信建投2021$37.5B2027$65.1BCAGR2021-2027=
~10%封装类型2021-2027
CAGRFan-out~11%Fan-inWLP~5%2.5D/3D~14%Filp-chip~9%EmbeddedDie~24%倒装稳占先进封装最大份额,2.5D/3D、嵌入式芯片和扇出成为增长最快的先进封装平台。根据Yole数据,先进封装内部份额最大的板块为倒装(包括FCBGA、FCCSP、FC-SiP),2021年市场规模约262.7亿美元,占比70%。从增速角度来看,相对高阶的封装形式Fan-Out、2.5D
/3D、EmbeddedDie在智能手机、HPC、自动驾驶等领域需求的推动下,保持高于先进封装整体市场的复合增速
。图表:先进封装市场规模及结构预测Fan-out Fan-in
WLP 2.5D/3D Flip-Chip Embedded
Die台积电先进封装营收与资本开支持续增长台积电在先进封装上已取得了可观的收入体量,技术布局也进入关键节点,未来投入规模将持续加码。根据Yole数据,2020-2022年,台积电在先进封装上的营收规模从36亿美元增至53亿美元,年复合增长率为21.3%;在先进封装上的资本开支从15亿美元增至40亿美元,年复合增长率为63.3%。从市场份额来看,2022年台积电在先进封装上的营收规模和资本支出分别位列全球第三和第二。图表:台积电先进封装营收规模及预测图表:台积电先进封装资本开支预测1,5003,0494,0000100020003000400050002020E2021E2022E百万美元Yole,中信建投Yole,中信建投3,6004,1005,30001000 2000 3000 4000 5000 6000202020212022E百万美元日月光VIPack先进封装平台包含六大核心技术VIPac是日月光扩展设计规则并实现超高密度和性能设计的下一世代3D异质整合架构。此平台利用先进的重布线层(RDL)制程、嵌入式整合以及2.5D/3D封装技术,协助客户在单个封装中整合多个晶片来实现前所未有的创新应用,日月光VIPac由六大核心封装技术组成。日月光基于高密度RDL的Fanout
Package-on
Package
(FOPoP)、Fanout
Chip-on-Substrate(FOCoS)、FanoutChip-on-Substrate-Bridge(FOCoS-Bridge)和FanoutSystem-in-Package(FOSiP),以及基于硅通孔(TSV)
的2.5D/3D
IC和Co-Packaged
Optics。除了提供可优化时脉速度、频宽和电力传输的高度整合矽封装解决方案所需的制程能力,VIPack™平台更可缩短共同设计时间、产品开发和上市时程。图表:日月光VIPack先进封装平台ASE,中信建投日月光VIPack先进封装平台包含六大核心技术技术名称示意图连接层技术应用FOPoPFan-OutPackageon
PackageRDL存储IC与逻辑IC的3D封装FOCoSFan-OutChipon
SubstrateRDL大尺寸和高I/O密度的网络和服务器芯片FOCoS-BFan-OutChipon
Substrate-BridgeRDLSiBridge封装ASIC与HBMFOSiPFan-Out
System-in-PackageRDL智能手机、平板电脑、射频基础设施、边缘计算和物联网芯片2.5D/3DTSV集成高端显卡、FPGA等应用的HBMCo-Packaged
OpticsTSV超大规模数据中心的光收发器或板载/联合封装光学器件ASE,中信建投安靠推出五大先进封装工艺平台安靠推出五大先进封装解决方案:FCMCM(倒装多晶片模组)与2.5D(TSV)已进入大规模量产阶段。S-SWIFT
HDFO利用铜微线光刻和有机介电质来实现高水平的信号路由密度,允许集成chiplet和HBM,已进入客户验证阶段。S-Connect是一种组合HDFO和桥接的产品,目前处于内部鉴定阶段。使用Cu混合键合的超密集3D芯片堆叠正在研发阶段。图表:安靠先进封装解决方案资料来源:安靠,中信建投全球OSAT龙头营收规模快速增长,资本开支相对稳定图表:用于封装的资本开支金额预测(百万美元)Yole,中信建投221020002000553780950593650050010001500200025002020E2021E2022E日月光 安靠 长电9415116381253450516061704732854054484114000120001000080006000400020000202020212022E图表:封装营收规模及预测(百万美元)日月光 安靠 长电Yole,中信建投在OSAT厂商中,日月光、安靠、长电的封装业务营收规模位列全球前三,日月光因2020年与矽品合并,营收体量较大幅领先于安靠和长电。根据Yole数据,2020-2022年,三家公司的封装营收规模均保持两位数以上的年复合增速,其中长电增速最快,年复合增速约为21.4%。日月光在封装上的资本开支稳定在20亿美元左右,安靠与长电的资本开支保持上升。由于Intel、TSMC与三星在封装领域的大规模投入,OSAT厂商的资本开支排名相比营收规模排名有所下滑,日月光、安靠、长电分别位列全球第三、第五、第六。三、空间:行业规模与龙头四、供给:国内晶圆厂与封装厂目录 二、工艺:先进封装与2.5D/3D封装关键工艺一、应用:手机封装工艺->汽车封装工艺->HPCAIGC开启算力新时代,先进封装延续摩尔定律大模型训练对于算力芯片需求指数级提升,以GPU为主要算力芯片,ASIC/NPU等芯片方案也将快速发展。先进制程+先进封装,EUV极限是3nm,进一步提升芯片性能需要“chiplet”先进封装工艺:bumping,2.5D/3D封装行业参与者:台积电、日月光、安靠;国内先进封装包括通富微电、长电科技、甬夕科技IP设计先进制程先进封装工艺材料设备长电科技通富科技甬夕电子兴森科技深南电路新益昌芯原股份海光信息龙芯中科平头哥中芯国际ChatGPT简介基于多层transformer模型,改进训练算法,模型参数庞大(开源模型OPT-175B),训练数据集完备且加入人工干预机制openAI训练使用10000张英伟达训练卡训练1750亿参数的GPT-3,已经需要一个英伟达V100
GPU计算约335年,需要增加
并行度175B模型需要显存在700GB(半精度350GB)左右,需要至少10张80GB
A100卡按照2.3TB显存需求计算ChatGPT属于联系上下文对话类模型,属于自然语言处理类(NLP)的AIGC应用单句对话成本在0.1美金左右中芯国际:晶圆代工行业反转在即,HPC/ADAS拉动新周期1Q123Q121Q133Q131Q143Q141Q153Q151Q163Q161Q173Q171Q183Q181Q193Q191Q203Q201Q213Q211Q223Q221Q23E资料来源:各公司公告,中信建投IC设计公司去库存持续,晶圆代工厂稼动率预计Q2见底:由于个人PC/智能手机等消费电子终端从2022年Q3开始明显减弱,旺季不旺,IC设计公司在去年Q3陆续开始砍单,由于国内需求下降更明显,同时公司部分产线进行了岁修,所以导致稼动率降至92%,环比下降了5个百分点。Q4开始海外晶圆代工厂联电的稼动率也开始受到设计公司砍单影响下降了10个百分点,中芯国际的产能利用率进一步下降至70%,预计晶圆代工厂稼动率随着下半年消费电子需求回暖Q3开始回升。高性能计算(HPC)和自动驾驶(ADAS)有望拉动新一轮半导体周期向上:2021年全球半导体市场规模达到5559亿美元,按照下游占比来看,2022年占比分别为31%,30%和12%的智能手机、个人电脑市场和消费电子均出现大幅下滑,电动车延续高增长趋势,我们认为AIGC为代表的大算力需求将大幅提升GPU的需求,同时电动车向更高阶的自动驾驶演进,ADAS渗透率持续提升,大算力和汽车半导体有望成为新一轮半导体周期的核心驱动力。图表:全球晶圆代工厂商产能利用率% 图表:2021年不同终端半导体价值量(US$B)与增速%联电 中芯国际 华虹半导体 力积电 平均120%110%100%90%80%70%60%SIA,中信建投中芯国际:积极扩产成熟制程,非手机领域需求占比提升12英寸产线 持股比例%
技术节点 月产能Kwp中芯北京中芯上海100%100%0.18um-55nm14nm及以下6535中芯南方 39% 14nm及以下 15中芯北方中芯京城-在建中芯东方-在建51%51%66%65nm-24nm28nm28nm50100100
中芯深圳-在建 55% 28nm 40 合计等效8英寸(剔除在建)合计等效8英寸(考虑在建)371911中芯上海100%0.35um-90nm155中芯天津100%0.35um-90nm120 8英寸
中芯深圳 55% 0.35um-0.15um 55 合计 330701161348%47%46%37%35%32%32%
31%29%25%
26%29%16%21%21%16%14%12%13%
13%14%16%
15%11%18%
17%17%20%20%25%24%24%23%
24%23%22%20%16%27%31%
31%32%
32%34%
35%36%39%0%20%10%40%30%50%60%70%80%90%18%100%20Q1
20Q2
20Q3
20Q4
21Q1
21Q2
21Q3
21Q4
22Q1
22Q2
22Q3
22Q4智能手机 智能家居 消费电子 其他国内晶圆代工产能市占率25%:公司2022年晶圆出货量(等效8英寸)达到71.0万片/月,yoy+5%,实际产能达到75.7万片/月,yoy+9%,是目前国内产能最大的晶圆代工厂。按照中国大陆300万片/月(等效8英寸)的供给量测算,市占率达到25%。下游应用领域非手机占比持续提升推动成熟制程扩产:从公司下游应用占比来看,非手机应用如消费电子和其他工业/自动化等领域需求占比在过去三年大幅提升,这些应用领域主要应用28nm及以上成熟制程,为了更好的满足新的市场需求,公司积极扩产成熟制程产能,预计扩产完成后超过160万片/月(等效8英寸)。图表:中芯国际产能分布与扩产规划 图表:中芯国际下游应用营收占比变动晶圆产能合计(等效8英寸)晶圆产能合计(等效8英寸)考虑在建资料来源:中芯国际公告,中信建投资料来源:中芯国际公告,中信建投中芯国际:2023年前低后高,下半年消费电子复苏可期80%70%60%50%40%30%20%10%0%-10%-20%-30%-14,00012,00010,0008,0006,0004,0002,000营收
百万元人民币 营收同比% 营收环比%资料来源:公司公告,中信建投2022年公司营收增速明显超过半导体行业:2022年公司实现主营收入488.85亿元,同比成长39.3%,其中,晶圆代工业务营收为452.93亿元,同比增长41.0%。全球智能手机和个人电脑需求显现疲软,2022年出货量同比分别下降11%和16%,拖累全球半导体行业增长仅为1.1%达到6017亿美元,公司增速明显超越行业增长。行业去库存加速拖累Q1营收和毛利率“双降”:受到2022年Q3消费电子需求低迷的影响,公司单季度营收增速在2022年Q1见顶后放缓,预计2023年Q1随着IC设计厂商去库存减少下单,公司营收单季度同比增速转负,同比下滑22%,环比下滑12%,Q1单季度毛利率下滑至20%,相较于上一个季度下滑12个百分点。2023年半导体行业展望前低后高,全年业绩承压:展望2023年智能手机和消费电子行业回暖需要时间,工业领域相对稳健,汽车电子行业增量需求仅可以部分抵消手机和消费电子疲弱的负面影响。公司预计2023全年营收同比降幅为低十位数,毛利率在20%左右;图表:SMIC单季度营收与同比/环比增速% 图表:SMIC单季度毛利率和营业利润%-10%0%10%20%30%40%50%毛利率% 营业利润率%资料来源:公司公告,中信建投长电科技:Chiplet封装工艺平台量产,聚焦2.5/3D封装所属区域所在城市工厂名称工艺/产品国内无锡长电先进Fan-out
CSP晶圆级封装无锡长电本部BGA/PA封装为主滁州滁州工厂DIP、SOT打线封装,功率分立器件宿迁宿迁工厂国外新加坡SCK(原星科金朋)fan-in和fan-out晶圆级封装韩国PoP,FC封装,实现Bumping-FC的一站式封装,主要产品是存储和矿机芯片韩国JSCK(新建)海外客户SiP封装全球化产能优势明显:公司封测产能分布在中国、韩国和新加坡三地,其中国内长电先进聚焦bumping,Fan-outCSP晶圆级等先进封装,本部以BGA、PA封装,安徽的滁州和宿迁工厂则以传统封装为主,海外工厂包括位于韩国和新加坡的原星科金朋工厂,封装工艺全球领先。长电先进承载2.5D/3D封装工艺:2022年长电先进完成了XDFOITM
2.5D试验线的建设,已按计划进入稳定量产阶段,同步实现国际客户4nm节点多芯片系统集成封装产品出货。在汽车电子领域,公司韩国工厂与下游企业合作研发了用于新能源汽车大客户的芯片,并将用于该客户车载娱乐信息和ADAS辅助驾驶。图表:长电各个公司工艺产品 图表:长电科技Chiplet封装工艺资料来源:长电科技,中信建投资料来源:长电科技,中信建投长电科技:海外工厂受益SiP封测拉动和精益管理实现增长所属区域所在城市工厂名称2021年营收2021年净利润2022年营收2022年净利润22/21收入yoy%22/21净利润yoy%国内无锡长电先进2,1044161,682248-20%-41%无锡长电本部8,9791,8657,078552-21%-70%滁州宿迁滁州工厂宿迁工厂1,3542601,074133-21%-49%1,2271541,11376-9%-51%国外新加坡韩国SCK(原星科金朋)11,09892313,0351,82817%98%韩国JSCK(新建)9,90445812,38741325%-10%34,6654,07736,3703,2495%-20%合计资料来源:长电科技,中信建投国内工厂受到消费电子需求下滑获利大幅衰退:由于消费电子市场需求疲软、订单下降、价格竞争激烈使得产能利用率降低,长电先进营收及利润较上年相比分别下降20%和40%。以成熟工艺为主的滁州和宿迁工厂营收和利润同样出现大幅下滑,营收分别下降21%和9%,净利润较上一年同比减少49%和50%。海外工厂受益于高端封测营收占比实现逆势增长:公司新加波和韩国工厂(SCK)通过技术升级与改造,优化产线产品结构,加大新产品导入量产力度,强化精益生产效率,使得收入和净利润快速上升,净利润同比大增98%,长电韩国JSCK主要进行高阶SiP产品封装测试,与去年同期相比,系统级封装产品业务订单增长拉动营收成长25%。2023年资本开支规划:2023年计划资本开支为65亿元,同比增长62.5%,持续投资chiplet先进封装工艺。图表:长电科技各工厂业绩长电科技:高性能计算与汽车电子成为增长驱动力资料来源:公司公告,中信建投-5%0%5%10%15%20%40,00035,00030,00025,00020,00015,00010,0005,0000-5,00020182019202020212022营业收入 归母净利润 营业同比 销售毛利率2022年营收逆势增长,海外工厂贡献主要增量:2022年公司实现营收约337.62亿,同比成长10.69%,归母净利润为32.3亿元,同比成长9.2%,全年毛利率为17.04%,公司毛利率从去年Q1见顶后开始下降,Q4单季度毛利率降至14.43%。运算电子和汽车电子拉动成长,手机/消费电子下滑:公司2022年度营业收入按市场应用领域划分情况:通讯电子占比39.3%、消费电子占比29.3%、运算电子占比17.4%、工业及医疗电子占比9.6%、
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024年度场地租赁与合作经营合同
- 二零二四年度保温材料生产设备采购合同
- 2024年度矿产资源勘探及开发合作协议
- 2024年度企业并购咨询合同保密协议
- 二零二四年度广告投放与宣传合同
- 二零二四年度特许经营权许可合同
- 2024年度电子商务安全技术检测合同3篇
- 二零二四年度采购供应合同范本
- 2024年度融资投资合同
- 2024年度融资租赁合同条款2篇
- 重症病人水电解质和酸碱平衡课件
- 2023年新《生态环境行政处罚办法》解读
- 2023年山西王家大院导游词讲解(5篇)
- 建设单位安全管理体系
- 了解计算机网络教案
- 人力资源应急预案
- 2023年早期教育专业技能大赛选拔赛理论考试试题及答案
- 《电与我们》(课件)三年级上册科学人教版
- 胸痛中心质控标准及目标解读课件
- 光伏电站运维安全协议书
- 产品合格证标签出厂合格证模板
评论
0/150
提交评论